Vivado 下 呼吸灯实验

这篇具有很好参考价值的文章主要介绍了Vivado 下 呼吸灯实验。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

Vivado 下 呼吸灯实验

1、实验简介

2、实验环境

3、实验任务

4、硬件设计

5、程序设计

5.1、呼吸灯代码如下:

5.2、添加约束文件 .xdc

5.3、下载验证


Vivado 下 呼吸灯实验

       呼吸灯最早由苹果公司发明并应用于笔记本睡眠提示上,其一经展出,立刻吸引众多科技厂商争相效仿,并广泛用于各种电子产品中,尤其是智能手机。呼吸灯其实是在微处理器的控制下,由暗渐亮、然后再由亮渐暗,模仿人呼吸方式的 LED 灯。

1、实验简介

        呼吸灯采用 PWM 的方式,在固定的频率下,通过调整占空比的方式来控制 LED 灯亮度的变化。PWM(Pulse Width Modulation),即脉冲宽度调制,它利用微处理器输出的 PWM 信号,实现对模拟电路控制的一种非常有效的技术,广泛应用于测量、通信、功率控制等领域。

       在由计数器产生的固定周期的 PWM 信号下,如果其占空比为 0,则 LED 灯不亮;如果其占空比为 100%,则 LED 灯最亮。所以将占空比从 0 100%,再从 100%0 不断变化,就可以实现 LED 灯的“呼吸”效果。

       PWM 占空比调节示意图如下图所示:

Vivado 下 呼吸灯实验

       由上图可知,LED 高电平的时间由长渐渐变短,再由短渐渐变长,如果 LED 灯是高电平点亮,则 LED 灯会呈现出亮度由亮到暗,再由暗到亮的过程。

2、实验环境

  • Windows 10  64 位
  • vivado 2020.2
  • Xinlinx 黑金 FPGA 开发板(AX7A035t 开发板、AX7A100t 开发板、AX7A200t 开发板)

3、实验任务

       本节实验任务是使用 Xinlinx 黑金 FPGA 开发板上的 LED,实现呼吸灯的效果,即由亮渐灭,然后再由灭渐亮。

4、硬件设计

       LED 原理图与流水灯实验完全相同,请参考流水灯实验硬件设计部分。由于 LED 灯在前面相应的章节中已经给出它们的管脚列表,这里不再列出管脚分配。

5、程序设计

本次实验的模块端口及结构框图如下图所示。

Vivado 下 呼吸灯实验

       周期信号计数器用于产生驱动 LED 的脉冲信号,本次实验的周期信号频率为 1Khz,其占空比由后级逻辑在每个周期之后进行递增或递减,最后再对当前计数值和占空比计数值进行比较,以输出占空比可调的脉冲信号。

5.1、呼吸灯代码如下:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/05/12 09:15:39
// Design Name: 
// Module Name: breath_led
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

//实验任务是实现呼吸灯的效果,即由亮渐灭,然后再由灭渐亮。
//呼吸灯采用PWM(脉冲宽度调制)的方式,在固定的评率下,通过调整占空比的方式来控制LED灯亮度的变化。
//在由计数器产生的固定周期的PWM信号下,如果其占空比为0,则LED灯不亮;如果占空比为100%,则LED灯亮。
//所以将占空比从0到100%,再从100%到0不断的变化,就可以实现LED灯的“呼吸”效果。

module breath_led(
//     input            sys_clk,      //时钟信号50MHz(一个周期是20ns:1/50MHz=0.02us=20ns)
    //differential system clocks//200MHz系统时钟(一个周期是5ns:1/200MHz=0.005us=5ns)
    input               sys_clk_p,       //system clock positive
    input               sys_clk_n,       //system clock negative
     input            sys_rst_n,    //复位信号
     
     output           led           //LED
    );
    
//reg define
reg  [15:0]   period_cnt;  //周期计数器频率:1KHz 周期1ms  计数值:1ms/20ns=50000
reg  [15:0]   duty_cycle;  //占空比数值
reg           inc_dec_flag;//0 递增; 1 递减


//*********差分时钟这么处理***START******************
wire        sys_clk;
//差分输入时钟缓冲器-黑金FPGA
IBUFDS sys_clk_ibufgds //generate single end clock
(
	.O                          (sys_clk                  ),
	.I                          (sys_clk_p                ),
	.IB                         (sys_clk_n                )
);
//*********差分时钟这么处理*****END*******************

//************************************************
//**    main  code
//************************************************

//根据占空比和计数值之间的大小关系来输出LED
assign led = (period_cnt >= duty_cycle)? 1'b1:1'b0;

//周期计数器
always @(posedge sys_clk or negedge sys_rst_n) begin
    if (!sys_rst_n)
         period_cnt <= 16'd0;
    else if (period_cnt==16'd50000)
         period_cnt <= 16'd0;
    else
        period_cnt <= period_cnt + 1'b1;
end

//在周期计数器的节拍下递增或递减占空比
always @(posedge sys_clk or negedge sys_rst_n) begin
     if (!sys_rst_n) begin
          duty_cycle <= 16'd0;
          inc_dec_flag <= 1'b0;
     end
     else begin
          if (period_cnt==16'd50000) begin     //计满1ms
               if(inc_dec_flag==1'b0) begin    //占空比递增状态
                    if(duty_cycle==16'd50000)  //如果占空比已递增至最大
                         inc_dec_flag <= 1'b1; //则占空比开始递减
                    else                       //否则占空比以25为单位递增
                         duty_cycle <= duty_cycle + 16'd25;
               end
               else begin                    //占空比递减状态
                   if(duty_cycle==16'd0)     //如果占空比已递减至0
                        inc_dec_flag <= 1'b0;//则占空比开始递增
                   else                      //否则占空比以25为单位递减
                        duty_cycle <= duty_cycle - 16'd25;
               end
          end
     end
end

    
endmodule

       第 62~69 行是 1KHz 周期信号的计数器,用于产生 1KHz LED 驱动信号。第 72~93 行的 always 块为占空比设定模块,每次计数完了一个周期,就根据递增/递减标志来对占空比计数值(duty_cycle)进行递增/递减 25 个计数值,这个递增或者递减的数值大小可以用来控制呼吸灯的呼吸频率。

       如果占空比计数值(duty_cycle)已经递增到了最大,则呼吸灯已经处于最亮的状态,接下来开始递减;反之,如果占空比计数至已经递减到了最小,即 0,则呼吸灯处于熄灭的状态,接下来开始递增;如此循环往复,最终实现了流水灯的效果。

       在代码的第 59 行 assign 语句 通过组合逻辑把当前的周期计数值和占空比计数值进行比较,来判断 LED 的输出电平。在一个周期内,如果当前的周期计数值小于等于占空比计数值,则 LED 输出高电平,即点亮;如果当前的周期计数值大于占空比计数值,则 LED 输出低电平,即熄灭。

5.2、添加约束文件 .xdc

添加约束文件 breath_led.xdc,添加约束文件.xdc 的详细步骤见:Vivado 下 LED 流水灯实验_OliverH-yishuihan的博客-CSDN博客 中的 “4.3、添加 XDC管脚约束文件”

约束文件 breath_led.xdc 的具体内容如下:

############## clock define 时钟引脚、电平信号约束#####黑金-FPGA##################
create_clock -period 5.000 [get_ports sys_clk_p]
set_property PACKAGE_PIN R4 [get_ports sys_clk_p]
set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_p]
#set_property -dict {PACKAGE_PIN R4 IOSTANDARD DIFF_SSTL15} [get_ports sys_clk_p]
############## reset key define##########################
set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS15} [get_ports sys_rst_n]
############## LED define ############################
set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS15} [get_ports {led}]
##############SPI Configurate Setting##################
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]

5.3、下载验证

       编译工程并生成比特流.bit 文件。将下载器一端连接电脑,另一端与开发板上的 JTAG 下载口连接,连接电源线,并打开开发板的电源开关。

       点击 Vivado 左侧 “Flow Navigator” 窗口最下面的 “Open Hardware Manager”,此时 Vivado 软件识别到下载器,点击 “Hardware” 窗口中 “Progam Device” 下载程序,在弹出的界面中选择 “Program” 下载程序。

       程序下载完成后,可以看到开发板上的 LED 灯由亮慢慢变暗,再暗由慢慢变亮,即呈现出“呼吸”的效果。

详细步骤可参考:Vivado 下 LED 流水灯实验_OliverH-yishuihan的博客-CSDN博客 中的 “4.6 下载和调试” 文章来源地址https://www.toymoban.com/news/detail-498037.html

到了这里,关于Vivado 下 呼吸灯实验的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA综合设计实验:基于PWM脉宽调制的呼吸流水灯设计

    目录 一、引言 二、项目准备 1.项目预期目标 2.项目原理及总体实现思路

    2024年02月13日
    浏览(58)
  • FPGA设计入门:Vivado综合简介

    FPGA设计入门:Vivado综合简介 FPGA是一种基于可编程逻辑器件的数字电路设计技术,可以通过编程实现各种电路功能。Vivado是Xilinx公司推出的一款开发工具,可以用来对FPGA进行综合、布局、布线、生成比特流等操作。本篇文章将从Vivado综合的基本流程入手,详细介绍如何使用

    2024年02月09日
    浏览(43)
  • vivado中IP核调用方法简介

    目录 一、基于Vivado的IP核使用方法 二、常用IP核调用方法案例 2.1FIFO IP核 2.2UART IP核 2.3 DDR3 IP核 2.4 PLL IP核 2.5AXI GPIO IP核 三、总结        Vivado是Xilinx公司推出的一款集成化设计环境,可以用于FPGA和SoC的设计和实现。在Vivado中,可以使用IP核来快速实现一些常见的功能模块,例

    2024年02月16日
    浏览(38)
  • 北邮22级信通院数电:Verilog-FPGA(12)第十二周实验(2)彩虹呼吸灯(bug已解决 更新至3.0)

    北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.代码部分 1.1一些更新和讲解 1.2改正后的代码  二.管脚分配 三

    2024年02月04日
    浏览(49)
  • Vivado 下 LED 灯闪烁实验

    目录 Vivado 下 LED 灯闪烁实验 1、简介 2、实验环境 3、实验任务 4、硬件设计 5、程序设计 5.1、LED 闪烁模块代码 5.2、Vivado 仿真验证 5.2.1、编写 TB 仿真代码 6、下载验证 6.1、添加约束文件 .xdc 6.2、下载验证 注意:一定要先把下载器的一端连接到了电脑、另一端连接了 JTAG 接口

    2024年02月15日
    浏览(25)
  • Vivado 下 IP核之FIFO 实验

    目录 Vivado 下 IP核之FIFO 实验 1、FIFO IP 核简介 2、实验任务 3、程序设计 3.1、FIFO IP 核配置 3.1.1、“Basic” 选项卡下各参数配置 3.1.2、“Native Ports”选项卡下各参数配置 3.1.3、“Status Flags” 选项卡下各参数配置 3.1.4、“Data Counts(数据计数)”选项卡下各参数配置 3.2、时序图讲

    2024年02月09日
    浏览(55)
  • Vivado 下 IP核之 PLL实验

    目录 实验任务:Vivado 下 IP核之 PLL实验 1、实验简介     2、实验环境 3、实验原理 3.1、PLL IP核简介 3.2、MMCM 和 PLL 各自的含义以及两者的区别 3.3、PLL 分频 和 倍频 的工作原理 3.4、实验任务 4、建立工程 4.1、PLL IP 核配置 4.2、模块设计 4.3、编写代码 4.4、Vivado 自带仿真器的使

    2024年02月09日
    浏览(43)
  • 【STM32学习】——定时器输出比较功能&PWM脉宽调制&通用/高级定时器输出比较通道&舵机/直流电机简介&PWM驱动呼吸灯/舵机/直流电机代码实操

    声明:学习笔记根据b站江科大自化协stm32入门教程编辑,仅供学习交流使用!

    2024年02月03日
    浏览(53)
  • 使用VIVADO中的MIG控制DDR3(AXI接口)一——AXI简介

            最近,因为需要,学习了AXI总线协议和DDR3相关的知识,花了很多的时间去找各种相关的资料,深刻明白查资料的不容易,这里将所学到的东西做一个总结,同时给需要的人提供一些便利,有问题的欢迎一起讨论学习、共同进步。          首先来说一下有关AXI总

    2024年02月08日
    浏览(47)
  • Nginx目录结构简介:深入理解Nginx的默认文件和目录

    第一章 Nginx的默认目录结构 当你安装Nginx后,它的默认目录结构如下: 让我们逐个了解这些目录和文件的作用。 第二章 conf目录 conf目录包含了Nginx的配置文件,其中nginx.conf是Nginx主配置文件,它包含了所有全局的Nginx配置项。mime.types文件包含了MIME类型的定义,它告诉Nginx如

    2024年02月13日
    浏览(58)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包