电路设计【8】原理图中VCC、VDD、VEE、VSS、VBAT各表示什么意思

这篇具有很好参考价值的文章主要介绍了电路设计【8】原理图中VCC、VDD、VEE、VSS、VBAT各表示什么意思。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、名词解析

(1)VCC:C=circuit 表示电路的意思, 即接入电路的电压

(2)VDD:D=device 表示器件的意思, 即器件内部的工作电压;

(3)VSS:S=series 表示公共连接的意思,通常指电路公共接地端电压

(4)VEE:负电压供电;场效应管的源极(S)

(5)VBAT:当使用电池或其他电源连接到VBAT脚上时,当VDD 断电时,可以保存备份寄存器的内容和维持RTC的功能。如果应用中没有使用外部电池,VBAT引脚应接到VDD引脚上。

(6)VPP:编程/擦除电压。

(7)GND:在电路里常被定为电压参考基点。

(8)V与VA的区别是:数字与模拟的区别

  • 数字电路供电VCC
  • 模拟电路供电VCCA
  • VDD是指数字工作电压,就是供电进芯片的
  • VDDA是模拟电压或者叫模拟正电源,是从芯片向外供电的

(9)CC与DD的区别是:供电电压与工作电压的区别(通常VCC>VDD)

二、应用讲解

1、对于数字电路来说,VCC是电路的供电电压,VDD是芯片的工作电压(通常Vcc>Vdd),VSS是接地点。例如,对于ARM单片机来说,其供电电压VCC一般为5V,一般经过稳压模块将其转换为单片机工作电压VDD = 3.3V

2、有些IC既带VDD引脚又有VCC引脚,说明这种器件自身带有电压转换功能。

3、在场效应管(或COMS器件)中,VDD为漏极,VSS为源极,VDD和VSS指的是元件引脚,而不表示供电电压。

4、一般来说VCC=模拟电源,VDD=数字电源,VSS=数字地,VEE=负电源

5、从电气意义上说,GND分为电源地和信号地。PG是 Power Ground(电源地)的缩写。另一个是 Signal Ground(信号地)。实际上它们可能是连在一起的(不一定是混在一起哦!)。两个名称主要是便于对电路进行分析。

进一步说,还有因电路形式不同而必须区分的两种“地”:数字地,模拟地。

数字地和模拟地都有信号地、电源地两种情况。数字地和模拟地之间,某些电路可以直接连接,有些电路要用电抗器连接,有些电路不可连接。

三、举例分析:为什么stm32vet6中要分出5对VDD VSS?它们分别负责哪些模块的供电?

1、这和芯片的设计有关系,一般VDD和VSS管脚均匀分布在芯片的四周的,是基于电源完整性的考虑,可以为芯片提供最好的电源质量,降低电源阻抗,保证高速数字电路可靠工作的手段。

2、DSP内部有很多功能单元,这些单元都需要供电,采用多引脚供电可以就近获取电源,无需在内部穿越。

3、不同单元之间,有时不希望电源互相影响,采用独立的电源引脚,可以避免这种影响。

4、实际使用时,每个引脚不但要连接电源,还应在电源引脚附近加上退藕电容。其目的是当器件工作时,电流的变化会引起电源的电压微小波动,加上退藕电容后,这种波动就不容易传递到另外的电源引脚。文章来源地址https://www.toymoban.com/news/detail-499967.html

到了这里,关于电路设计【8】原理图中VCC、VDD、VEE、VSS、VBAT各表示什么意思的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 硬件电路设计原理图设计

    叶倾城-硬件原创的个人空间_哔哩哔哩_Bilibili 硬件电路设计原理图设计第二季-1-40课已更新完成啦!!! 第三季硬件电路设计原理图设计敬请期待!感谢大家的支持! 第01课------硬件实战-硬件电路设计的方法和技巧 第02课------千兆(十兆、百兆、千兆自适应)以太网电路设计

    2023年04月15日
    浏览(45)
  • 原理图-电源电路设计

    电源电路是指提供给用电设备电力供应的电源部分的电路设计,使用的电路形式和特点。既有交流电源也有直流电源 电源电路一般可分为开关电源电路,稳压电源电路,稳流电源电路,功率电源电路,逆变电源电路,DC-DC电源电路,保护电源电路等 我目前在设计电路板时,大

    2024年02月14日
    浏览(34)
  • 电压转电流模块电路设计原理解析

          前言:        在工业控制等传感器的应用电路中,输出模拟信号一般以电压形式存在。在以电压方式长距离传输模拟信号时,信号源电阻或传输线路的直流电阻等会引起电压衰减。为了避免信号在传输过程中的衰减,可增大信号接收端的输入电阻,但信号接收端输入

    2024年02月08日
    浏览(39)
  • LED驱动电路设计及原理分析

    1, LED灯简单介绍: ​ ​ 图1 ​ LED在电路图中的标记如下图(图2) ​ 图2 2, LED灯电气特征: 1, 单向导通性 : ​ 常见的LED发光二极管都有两个引脚,其中长的引脚接电源正极,为电流进入LED的引脚,短的接电源负极,为电流离开LED的引脚。如果LED正向导通(图3),此时

    2024年02月02日
    浏览(32)
  • FPGA数字电路设计:三八译码器的原理与实现

    FPGA数字电路设计:三八译码器的原理与实现 三八译码器是常用于数字电路设计中的一种重要元件。它的作用是将三位二进制信号转换成八个输出信号,通常用于地址解码、选通控制、状态指示等应用场景。 在FPGA数字电路设计中,三八译码器的实现需要借助Verilog HDL语言进行

    2024年02月08日
    浏览(39)
  • Altium Designer中多图纸电路原理图的设计

    多图纸原理图设计分类 平坦式原理图  层次原理图  离图连接器原理图 多通道原理图 一 .平坦式原理图(横向拼接) 平坦式原理图之间是 通过端口连接的 。(可以比喻成两片布,端口相当于针眼,连接的电线相当于线) 每张原理图=某一单元模块电路图+端口   平坦式原理图的各

    2023年04月21日
    浏览(34)
  • 【数字IC手撕代码】Verilog无毛刺时钟切换电路|题目|原理|设计|仿真

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区 联合力荐 !近500篇 数字IC精品文章收录 ! 【数字IC精品文章收录】学习路线·基础知识·总线·脚本语言·芯片求职·EDA工具·低功耗设计Verilog·STA·设计·验证·FPGA·架构·AMBA·书籍 本系列旨在提

    2023年04月08日
    浏览(31)
  • [蔡觉平老师主讲] Verilog HDL数字集成电路设计原理与应用

    硬件描述语言,Hardware Description Language (HDL)。利用HDL,可以根据电路结构的特点,采用层次化的设计结构,将抽象的逻辑功能用电路的方式进行实现。之后通过EDA(电子设计自动化)工具,可以将HDL程序综合成网表,通过自动布线工具把网表转换为具体电路布线结构,用于

    2024年04月12日
    浏览(31)
  • DC/DC:闭环控制的降压(Buck)变换电路原理设计及实验仿真

    在各种电力电子装置电源应用中或多或少地存在直流电源变换器,为保证直流输出电压值恒定在负载需要地电压范围内,一般需要设置自动调整单元,以保证在输入电压或者负载发生变换时,其输出电压能快速调整到规定的设定值。 降压(Buck)变换电路原理图如图所示 主电路

    2024年02月12日
    浏览(49)
  • 西南交大计组预备实验1:基于原理图方式的3-8译码电路的设计

    说明:本系列仅作为对实验过程学习的一些记录。使用的软件为Quartus II 13.1。 安装教程:安装教程 软件里文字显示不完整的解决办法   友情提示: 1.实验报告书写要整洁,尽量是考虑好了再落笔,否则可能给分会低(true story)。 2.逻辑功能可写出真值表。 3.注意老师ppt上的

    2024年04月25日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包