Verilog状态机常见三种写法

这篇具有很好参考价值的文章主要介绍了Verilog状态机常见三种写法。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.1理论

  Verilog状态机又称同步状态机(FSM,Finite State Machine),一般又叫状态机,在Verilog描述电路中大部分是同步执行(并行)的,但是很多时候需要处理明显具有时间先后的事件,就要用到状态机。每一个状态代表一个小事件,一个完整的任务分为多个事件,完成这个任务需要从该事件跳转到下一时间另一个时间,依次进行下去,整个系统就可以正常运行

1.2状态机分类

  1.Moore(摩尔)型:摩尔状态机的输出只与当前状态有关,即当前状态决定输出值,输入值只决定状态的跳变,不影响电路的输出。(此输出为电路的输出值,而非状态机的状态输出)
次态=f(输入,现态),输出=f(现态)。
  2.Mealy(米勒)型:米勒型状态机的输出与当前状态和当前输入有关。次态=f(输入,现态),输出=f(现态,输入)。

1.3举例分析

  这里从网上找到一个售货机例子,售货机里有价值4元饮料,支持一元与两元,设计一个状态机,当投入金额大于或等于饮料价格时收获机找零并弹出一瓶饮料。硬币与商品一进一出。
状态图:
Verilog状态机常见三种写法
一段式状态机

module fsm_sale(
input                   clk                 ,
input                   rst_n               ,
input      [1:0]        in                  ,
output reg [1:0]        out                 ,
output reg              out_vld
);
//---------------定义信号----------------------//
reg  [3:0]              state             ;

//---------------定义信号状态变量--------------------//
parameter S0           = 4'b0001           ;
parameter S1           = 4'b0010           ;
parameter S2           = 4'b0100           ;
parameter S3           = 4'b1000           ;

//---------------一段式状态机--------------------//

always @(posedge clk or negedge rst_n)begin
   if(!rst_n)begin
	   state <= S0;
		out <= 0;
		out_vld <= 0;
   end
	else begin
	   case(state)
		   S0:begin
			   if(in==1)begin
				  state <= S1;out <= 0;out_vld <= 0;
				end
			   else if(in==2)begin
			     state <= S2;out <= 0;out_vld <= 0;
		      end	
				else begin
				  state <= state;out <= 0;out_vld <= 0;
				end
			end
		   S1:begin
		      if(in==1)begin
				   state <= S2;out <= 0;out_vld <= 0;
				end
				else if(in==2)begin
				   state <= S3;out <= 0;out_vld <= 0;
				end
				else begin
				  state <= state;out <= 0;out_vld <= 0;
				end 
	      end
	      S2:begin
	         if(in==1)begin
				   state <= S3;out <= 0;out_vld <= 0;
			   end
				else if(in==2)begin
				   state <= S0;out <= 0;out_vld <=1;
				end
				else begin
				   state <= state;out <= 0;out_vld <= 0;
				end
         end
		   S3:begin
		      if(in==1)begin
				   state <= S0;out <= 0;out_vld <=1;
				end
				else if(in==2)begin
				   state <= S0;out <= 1;out_vld <=1;
				end
				else begin
				   state <= state;out <= 0;out_vld <= 0;
				end
	      end
         default:state <= S0;	
		endcase
	end
end

endmodule

二段式状态机

module fsm_sale
//---------------------<端口声明>---------------------------------------
(
input                   clk                 ,
input                   rst_n               ,
input      [1:0]        in                  ,
output reg [1:0]        out                 ,
output reg              out_vld
);
//---------------------<信号定义>---------------------------------------
reg  [3:0]              state             ;
reg  [3:0]              n_state             ;
//---------------------<状态机参数>-------------------------------------
localparam S0           = 4'b0001           ;
localparam S1           = 4'b0010           ;
localparam S2           = 4'b0100           ;
localparam S3           = 4'b1000           ;

//----------------------------------------------------------------------
//--   状态机第1段
//----------------------------------------------------------------------
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        state <= S0;
    else
        state <= n_state;
end

//----------------------------------------------------------------------
//--   状态机第2段
//----------------------------------------------------------------------
always@(*)begin
    case(state)
        S0: begin
            if(in==1)begin
                n_state = S1;
            end
            else if(in==2)begin
                n_state = S2;
            end
            else begin
                n_state = state;
                out     = 0 ;
                out_vld = 0 ;
            end
        end
        S1: begin
            if(in==1)begin
                n_state = S2;
            end
            else if(in==2)begin
                n_state = S3;
            end
            else begin
                n_state = state;
            end
        end
        S2: begin
            if(in==1)begin
                n_state = S3;
            end
            else if(in==2)begin
                n_state = S0;
                out_vld = 1 ;
            end
            else begin
                n_state = state;
                out_vld = 0;
            end
        end
        S3: begin
            if(in==1)begin
                n_state = S0;
                out_vld = 1 ;
            end
            else if(in==2)begin
                n_state = S0;
                out     = 1 ;
                out_vld = 1 ;
            end
            else begin
                n_state = state;
                out     = 0;
                out_vld = 0;
            end
        end
        default:n_state = S0;
    endcase
end


endmodule

三段式状态机

module fsm_sale
(
input                   clk                 ,
input                   rst_n               ,
input      [1:0]        in                  ,
output reg [1:0]        out                 ,
output reg              out_vld
);

reg  [3:0]              state             ;
reg  [3:0]              n_state             ;

localparam S0           = 4'b0001           ;
localparam S1           = 4'b0010           ;
localparam S2           = 4'b0100           ;
localparam S3           = 4'b1000           ;


always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
        state <= S0;
    else
        state <= n_state;
end


always @(*)begin
    case(state)
        S0: begin
            if(in==1)
                n_state = S1;
            else if(in==2)
                n_state = S2;
            else
                n_state = state;
        end
        S1: begin
            if(in==1)
                n_state = S2;
            else if(in==2)
                n_state = S3;
            else
                n_state = state;
        end
        S2: begin
            if(in==1)
                n_state = S3;
            else if(in==2)
                n_state = S0;
            else
                n_state = state;
        end
        S3: begin
            if(in==1 || in==2)      // in != 0也行
                n_state = S0;
            else
                n_state = state;
        end
        default:n_state = S0;
    endcase
end


always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
        out <= 0;
    else if(state ==S3 && in==2)
        out <= 1;
    else
        out <= 0;
end


always @(posedge clk or negedge rst_n)begin
    if(rst_n==1'b0)
        out_vld <= 0;
    else if((state ==S2 && in==2) || (state ==S3 && in!=0))
        out_vld <= 1;
    else
        out_vld <= 0;
end


endmodule

下面分别是三段状态机的仿真图
一段式:
Verilog状态机常见三种写法
二段式:
Verilog状态机常见三种写法
三段式:
Verilog状态机常见三种写法
  一段式状态机,只涉及时序电路,没有竞争与冒险现象,上述代码总消耗逻辑(total logic element)为8,比较少,但是状态一旦多了,一段式显得比较臃肿,不利于工程后期维护。
  二段式状态机,一段为状态转移,第二段为状态机输出、电路输出。输出波形产生了毛刺现象,而在本文中产生这种现象的原因是,n_state因为组合逻辑原因不断出现临时状态,而这些状态是无效的,输出也因此产生了这些临时状态,即毛刺。
  三段式状态机,各大教材中比较推崇的,一段是同步时序逻辑的状态转移(state→n_state);二段是组合逻辑的状态转移的条件,描述状态转移的规律;三段是状态的输出;由于维护方便, 组合逻辑与时序逻辑完全独立。避免了组合逻辑的竞争与冒险,所以我也推荐此种写法。文章来源地址https://www.toymoban.com/news/detail-503272.html

到了这里,关于Verilog状态机常见三种写法的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Verilog语法013】verilog多维数组(多维矩阵)的写法

    有两种表示方法:一种是verilog语法的多维数组,另一种是system verilog语法的多维数组。 reg [A1:A0] a [B1:B0] [C1:C0] [D1:D0] ; 引用顺序是:a[B][C][D][A], 定义的a 的左边只有位宽,一对方括号[],a的右边可以扩展维数,多对方括号[],变化速度:BCDA packed维度: packed数组是一种将1个向量

    2024年02月08日
    浏览(28)
  • verilog之testbench的写法

    编写Testbench的目的是把RTL代码在Modsim中进行仿真验证,通过查看仿真波形和打印信息验证代码逻辑是否正确。 testbench在这里做的就是给输入进行激励 具体逻辑为: 1.使用testbench给模块喂入输入信号(一般在testbench中定义为 reg 型) 2.通过实例化模块(注意实例化模块时带 p

    2024年02月15日
    浏览(32)
  • verilog中的3种for循环的写法

    直接进入正题,对于for循环的三种循环做以下介绍: for循环用于例化多个模块 注意点: (1)例化模块在for循环内部 (2)for循环需加名字 (3)genvar 定义在generate外面,可以全局使用(不推荐使用,最好一个generate for,对应一个循环变量) for循环写多个always块或者写多个

    2023年04月11日
    浏览(30)
  • HTML中CSS的三种写法

    原文网址:HTML中CSS的三种写法_IT利刃出鞘的博客-CSDN博客 本文介绍在前端HTML中写CSS的三种方法。 有内联样式、内部样式、外部样式。优先级为:内联样式 内部样式 外部样式。当同一个样式在不同位置定义时,会使用优先级高的样式。 行内样式,又叫做标签样式,写在标签

    2024年02月13日
    浏览(67)
  • MyBatis 表连接查询写法|三种对应关系

    ❤️作者主页:微凉秋意 ✅作者简介:后端领域优质创作者🏆,CSDN内容合伙人🏆,阿里云专家博主🏆 ✨精品专栏:C++面向对象 🔥系列专栏:JavaWeb 上篇博文把基础部分记录了,本篇是对 表连接查询 中一对一,一对多,多对多关系写法区别的记录总结。 前提最少是两张表

    2024年01月21日
    浏览(25)
  • JAVA数组元素反转的三种写法------JAVA入门基础教程

    int[] arr = new int[]{34,54,3,2,65,7,34,5,76,34,67}; for(int i = 0;i arr.length/2;i++) {     int temp = arr[i];     arr[i] = arr[arr.length - 1 - i];     arr[arr.length - 1 - i] = temp; } for(int i = 0;i arr.length;i++) {     System.out.print(arr[i] + \\\"t\\\"); } System.out.println(); //互换方法2 int[] newArr = new int[arr.length]; for(int i = arr.l

    2023年04月17日
    浏览(33)
  • SQL 查询两个时间段是否有交集的情况 三种写法

    mysql 写法 其他一样 数据库的字段 start_time, end_time 输入的字段 a,b 第一种  第二种  第三种  三种结果相同 推荐用第三种  200万数据测试 第一种23秒 第三种19秒 总结起来就一句话:最小值 小于等于 最大值 并且 最大值 大于等于 最小值,则一定有交集。

    2024年01月18日
    浏览(50)
  • 【LeetCode动态规划#07】01背包问题一维写法(状态压缩)实战,其二(目标和、零一和)

    力扣题目链接(opens new window) 难度:中等 给定一个非负整数数组,a1, a2, ..., an, 和一个目标数,S。现在你有两个符号 + 和 -。对于数组中的任意一个整数,你都可以从 + 或 -中选择一个符号添加在前面。 返回可以使最终数组和为目标数 S 的所有添加符号的方法数。 示例: 输入

    2023年04月18日
    浏览(40)
  • golang中一种不常见的switch语句写法

    最近翻开源代码的时候看到了一种很有意思的switch用法,分享一下。 注意这里讨论的不是 typed switch ,也就是case语句后面是类型的那种。 直接看代码: 你也可以在这找到它:代码链接 简单解释下这段代码在做什么:调用systemctl命令检查指定的服务的运行状态,具体做法是过

    2024年02月02日
    浏览(27)
  • CSS布局基础(CSS书写顺序 & 导航栏写法 & 常见问题)

    布局定位属性 自身属性(宽高,边框,内外边距) 内部文本属性 C3等其他属性 使用 li + a 的方式实现,而 不是直接写多个 a 标签 布局的一般原则是,从大到小,也就是先确认整体布局,再对局部布局进行调整。 清除默认间距 确定主显示区 PC端网页一般会有个中心区域展示

    2024年02月02日
    浏览(26)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包