verdi查看二维数组波形正确操作

这篇具有很好参考价值的文章主要介绍了verdi查看二维数组波形正确操作。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

使用Verdi查看二维数组波形

在编写verilog设计电路时,需要通过查看波形来进行调试,而在数字IC设计中常用的波形查看工具就是Verdi。
使用makefile脚本语言打开verdi后,常规操作是将鼠标点击要查看的信号,再使用ctrl+w即可添加该信号波形。但如果想要查看二维数组信号波形,则会出现如下所示的问题。verdi查看二维数组波形正确操作
这是由于在top模块中没有设置对二维数组进行记录,正确的方法是在top模块中添加如下函数:
$fsdbDumpMDA();
但是会发现,即便如此可能还是无法成功的添加二维数组波形,这时将top中的fsdb函数顺序按下面方式编辑即可文章来源地址https://www.toymoban.com/news/detail-505066.html

    $fsdbDumpvars(0);
    $fsdbDumpMDA();

到了这里,关于verdi查看二维数组波形正确操作的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 使用Verilator仿真基于Verilog编写的testbench并用GTKWave查看波形

    Verilator是一个开源的Verilog、SystemVerilog仿真EDA。 它进行仿真的第一步称为“verilate”,将编写好的.v/.sv文件转化成为C++编写的类和方法。 第二步则是建立C++运行环境wrapper file,在里面编写的main函数用于例化第一步里生成的和Verilator自带的仿真不可缺少的类,之后运行Verilato

    2024年02月09日
    浏览(35)
  • 学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形

    本篇记录如何独立的使用Modelsim进行仿真,便于之后查看。 Modelsim独立仿真的步骤: 创建工作文件夹——编译设计文件——导入及运行仿真——调试结果 具体的: 1、新建一个工程 指定工程名称、路径和默认库名称。一般情况下,设定Default Library Name默认库名称为work。 指定的

    2023年04月08日
    浏览(32)
  • verdi基本操作

    1. 生成波形:要VCS  与 verdi 联合仿真,需要在tb_top中加入如下代码,否则不会生成波形文件 2. Verdi 基本操作 ( 大写: shift+) g    get, signlas 添加信号,显示波形 n    next, Search Forward 选定信号按指定的值(上升沿,下降沿, both, 指定 Value )向前跳转 N     与 n 功能相同,方

    2024年02月10日
    浏览(25)
  • 【Java基础教程】(八)面向对象篇 · 第二讲:Java 数组全面解析——动态与静态初始化、二维数组、方法参数传递、排序与转置、对象数组、操作API~

    掌握数组的动态及静态创建方式、使用及特征; 掌握引用类型数据的特征; 掌握数组的排序、转置操作; 数组可以将多个变量进行统一的命名,这样相同类型的元素就可以按照一定的顺序进行组合排列 。在 Java中,数组属于引用类型数据,所以在数组的操作过程中,也一定

    2024年02月13日
    浏览(35)
  • 使用二维数组表示N阶矩阵

    矩阵为N阶,使用二维数组a[N-1][N-1]表示。 矩阵元素使用二维数组元素a[i][j]表示。 访问矩阵元素时,遍历下标表示为 a[i][j] , i为行下标,j为列下标 ,它们的取值范围均为 [0,N-1] 。 矩阵的术语与二维数组下标的对应关系如下表: 矩阵术语与二维数组下标对应关系 术语

    2023年04月24日
    浏览(31)
  • Visual Studio 2022环境中C语言的使用——一维数组和二维数组

    我是荔园微风,作为一名在IT界整整25年的老兵,今天总结一下,Visual Studio 2022环境中,是如何使用C语言的数组的。 先说一维数组,直接上代码: 这里特别容易搞错的就是一维数组的初始化,方法有如下几种: 1.在定义数组时直接对数组元素赋初值,例如: int iArray[6]={1,3,

    2024年02月05日
    浏览(65)
  • 如何使用IDEA查看jar包,jar包的正确打开方式

    原理就用 IDEA 是把 jar 包添加为 Libraries ,然后展开后即可查看。 因为是编译后的 class 文件,所以注释是没有的。 还有一种方法是把 jar 包的扩展名改为 rar ,然后用压缩工具打开可以查看里面的 class 文件。 正确打开方式如下: 喜欢的点个赞❤吧!

    2024年02月13日
    浏览(48)
  • JavaSE进阶 | 二维数组的定义和使用、查找和排序算法

    目录 🥅二维数组 ❤️二维数组的遍历 ❤️动态初始化二维数组 🥅数组知识点总结 🥅习题练习 ❤️用数组模拟栈 ❤️模拟酒店的订房退房功能 ❤️杨辉三角 ❤️把数据存入数组,保证值各不相同 ❤️数组元素的赋值与数组复制 ❤️数组元素的反转 ❤️数组的扩容与缩

    2024年02月14日
    浏览(31)
  • C语言使用malloc函数模拟开辟二维数组(带分析)

    🌟 个人主页 :古德猫宁- 当我们使用malloc函数来模拟创建一个二维数组时,我们需要理解二维数组在内存中是如何存储的。在C语言中,二维数组实际上是一维数组的数组。也就是说,一个二维数组可以被看作是一个包含多个一维数组的数组 考虑一个row行、cols列的二维整数

    2024年02月02日
    浏览(31)
  • 【C++入门】学习使用二维数组基本知识及用法详解

    🧛‍♂️iecne个人主页: : iecne的学习日志 💡每天 关注 iecne的作品,一起进步 💪一起学习,必看iecne 🐳希望大家多多支持🥰一起进步呀! 二维数组就是在一维数组上多加一个维度。 建议:以下三种定义方式,利用第二种更加直观,提高代码可读性 第二种就是在定义一

    2024年01月25日
    浏览(41)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包