Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

这篇具有很好参考价值的文章主要介绍了Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行波形联合仿真的具体操作。

话不多说,直接上图,根据以下步骤操作即可 。

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图1 步骤1

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图2 步骤2

对于图2,这里需要注意一个问题,对于Modelsim Altera,如果这样选择路径后,后面仿真时会报错,可以在路径最后面在添加“\”。

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图3 步骤3

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图4 步骤4

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图5 步骤5

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图6 步骤6

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图7 步骤7

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图8 步骤8

最后就是波形仿真的结果了(这里以Modelsim进行RTL仿真为例):

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图9 modelsim添加波形

Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

图10 modelsim查看波形与设置

对于图10,可以选择Ctrl+A全选,Ctrl+G对信号分组,右键->Radix可以设置信号的形式。

更多Modelsim软件仿真波形的基本操作可以参考视频:

https://www.bilibili.com/video/BV1k44y157Sm/文章来源地址https://www.toymoban.com/news/detail-505901.html

到了这里,关于Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus II 13.1——VWF文件 仿真报错

    报错类型: Error: (vsim-19) Failed to access library \\\"cyclone_ver\\\" at \\\"cyclone_ver\\\". 报错原因: 库文件未能加载到指定文件夹。 解决办法: ①点击顶部菜单栏得“Tools”选项; ②再选择“Launch Simulation Library Compiler”; ③底部“Output directory”这里选择 ‘simulation’ 文件夹下的 ‘qsim’ 文件

    2024年02月08日
    浏览(28)
  • Quartus使用步骤及联合Modelsim仿真教程

    #使用记录# 对于Quartus的安装步骤这里不再进行讲解,modelsim的安装步骤后续文章会进行讲解,这里也就不过多说明。言归正传,现在来开始我们的使用教程: 1、首先点击打开Quartus软件,博主这里使用的是22版本的,其他版本的步骤也基本一样。 2、我们可以看到如下界面,点

    2024年02月05日
    浏览(32)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(27)
  • 学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形

    本篇记录如何独立的使用Modelsim进行仿真,便于之后查看。 Modelsim独立仿真的步骤: 创建工作文件夹——编译设计文件——导入及运行仿真——调试结果 具体的: 1、新建一个工程 指定工程名称、路径和默认库名称。一般情况下,设定Default Library Name默认库名称为work。 指定的

    2023年04月08日
    浏览(28)
  • 用QUARTUS13.0自带仿真工具进行仿真

    quartus 13.0 自带仿真的使用 1、选中一个文件,右键设为顶层文件,编译它。 2、新建一个波形文件 3、打开波形文件,双击左侧空白处,再单击鼠标所处的键。 4、点击LIST菜单,并把信号从左面加到右面 5、这个比较关键,点击simulation,选options, 6、把输入信号加进去,点击仿真

    2024年02月12日
    浏览(30)
  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(29)
  • FPGA-Quartus II 13.1画逻辑门电路图的详细步骤

            作为学习FPGA的小白一枚,总是在看论文的时候发现大牛们的内容中包含逻辑设计图也就是门电路的截图,而我自己在学习的时候看正点原子或者野火视频的时候没有发现这一部分(也有可能有,但我没全部看完系列视频,所以也就没发现),就上网搜索了这一部

    2024年02月12日
    浏览(63)
  • vivado工程转换到quartus下联合modelsim仿真

    版本信息:quartus II 13.1  、modelsim DE 10.6c vivado用习惯了,现在快速换到quartus下仿真测试。 写一个操作文档,以fpga实现pcm编码为例。 目录 一、建立工程 1、准备源码和仿真文件  2、新建工程 3、加载源文件 4、选择器件 5、仿真器配置 6、工程信息 二、配置工程 7、设置顶层文

    2024年02月03日
    浏览(24)
  • FPGA时序约束(二)利用Quartus18对Altera进行时序约束

    FPGA时序约束(一)基本概念入门及简单语法 最近由于不懂时序约束,在高速信号采集上面吃了很多亏,不知道系统工作异常的原因是什么。记录一下查到的资料,有些许自己的理解,可能有误。(主要是小梅哥及《FPGA时序约束与分析(吴厚航)》) 在程序编译之后,会出现

    2024年02月05日
    浏览(31)
  • 如何用modelsim仿真包含quartus IP核的工程

    最近在仿真sdram,遇到了一些问题,现在解决了,写出来分享给大家。 问题: 使用quartus和modelsim联合仿真sdram的时候,tb文件中例化了sdram的top文件和sdram的仿真模型,这样会报错,我就想着直接在modelsim中仿真,但是由于模块中有quartus生成的ip核,只在modelsim中仿真也会报错,

    2024年02月03日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包