【Vivado】 [Place 30-574] 时钟使用普通IO时的报错解决办法

这篇具有很好参考价值的文章主要介绍了【Vivado】 [Place 30-574] 时钟使用普通IO时的报错解决办法。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

今天在创建工程时,由于只是一个测试用的工程,给时钟信号分配管脚时只是简单的使用了普通的IO管脚,在实现时报了以下错误

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets I_clk_IBUF] >

I_clk_IBUF_inst (IBUF.O) is locked to IOB_X0Y45
and I_clk_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0

报错原因为,编译器在综合时会自动的为工程中的时钟信号生成一个全局时钟BUF,然后如果管脚分配将这个BUFG连接到普通管脚上,就会报以上错误。
【Vivado】 [Place 30-574] 时钟使用普通IO时的报错解决办法
但如果仔细看一下报错信息:
If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING
如果这种次优条件对于设计是可以接受的,那么可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为WARNING

就会发现即使你坚持使用IO管脚做为全局时钟管脚,这个错误也并不是不能消除的,可以使用CLOCK_DEDICATED_ROUTE约束来将这种错误降级为WARNING,并且它给出了具体的解决方法,即在xdc文件中增加以下约束:
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets I_clk_IBUF]
重新实现,就不会再报错了。文章来源地址https://www.toymoban.com/news/detail-506736.html

到了这里,关于【Vivado】 [Place 30-574] 时钟使用普通IO时的报错解决办法的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Vivado报错[place 30-484] The packing of LUTRAM/SRL instance

            在Vivado Pr的时候报了一个错误:[Place 30-484] The packing of LUTRAM/SRL instance into capable slices could not be obeyde ....后面会继续说LUTRAM超了多少,而且会报错在你的ila上。           这个错误实际上是你的ila加了不被允许加的inout类型的信号,因为inout信号既是输入也是输出,一

    2024年02月13日
    浏览(55)
  • [Place 30-575] | [Place 30-675] Sub-optimal placement for a clock-capable IO pin and MMCM pair

    报错信息,两种 [放置30-575]具有时钟功能的IO引脚和MMCM对的次优放置。如果此设计可接受此次优条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为“警告”。但是,强烈不鼓励使用此覆盖。可以在.xdc文件中直接使用这些示例来覆盖此时钟规则。 [Place 30-675]具

    2024年02月04日
    浏览(29)
  • 安装使用LangChain时的报错解决

    刚刚装了LangChain但是引入各种包都报错,原因貌似为 Python3.7 不支持 LangChain,需要开启一个新的Python3.10环境,再重新安装即可正常运行。 创建新的python环境 conda create -n new_env python==3.10 重新安装 pip install langchain 这是当时的报错信息,写出来希望小伙伴来避避坑

    2024年02月15日
    浏览(79)
  • vscode编译时的报错解决办法

    主要问题是: “调试”是“ UnityEngine.Debug”和“系统”之间的歧义引用 所以命名空间要指定清楚: UnityEngine.Debug.Log(\\\"I’m a Musician, My name is \\\"+ name); 或者暂时不需要Diagnostics可以先注释掉 using System.Diagnostics; Attempted to update project that is not loaded: /Users/zhangsan/Desktop/Engine/gamer/My p

    2024年02月08日
    浏览(37)
  • 开发Flutter时点击运行时的报错

    Could not build the precompiled application for the device. Error (Xcode): No profiles for \\\'com.jiniu.paymentpro\\\' were found: Xcode couldn\\\'t find any iOS App Development provisioning profiles matching \\\'com.jiniu.paymentpro\\\'. Automatic signing is disabled and unable to generate a profile. To enable automatic signing, pass -allowProvisioningUpdates to xcodebui

    2024年02月10日
    浏览(23)
  • 【Vivado那些事儿】动态时钟的使用

    时钟是每个 FPGA 设计的核心。如果我们正确地设计时钟架构、没有 CDC 问题并正确进行约束设计,就可以减少与工具斗争的时间。 但对于某些应用,我们希望能够更改某些IP中的时钟频率。其中一个例子是在图像处理管道中,输出分辨率可以动态变化,从而需要改变像素时钟

    2024年02月12日
    浏览(37)
  • Vivado使用技巧:时钟的约束方法

            数字设计中,“时钟”表示在寄存器之间可靠地传输数据所需的参考时间;Vivado的时序引擎利用时钟特征来计算时序路径需求,通过计算时间裕量(Slack)的方法报告设计的时序空余;时钟必须被正确定义以最佳精度获得最大的时序路径覆盖范围,包含如下特性: ●

    2024年02月08日
    浏览(45)
  • 基于FPGA的数字时钟(使用vivado)

    使用两个四位数码管,可以实现时钟分钟秒钟显示,高两位设置不显示。 换了一个新开发板,nexys4ddr,资料不多,最多使用的就是一本英文Reference Manual。 其实是老师觉得我计数器还差点,得再练练。 Digilent NEXYS4DDR Vivado2018.3 60进制秒钟计数然后进1分钟 60进制分钟计数然后进

    2024年02月03日
    浏览(32)
  • 解决:使用前端路由时的报错Cannot destructure property ‘options’ of ‘(0 , vue__WEBPACK_IMPORTED_MODULE_1__.inject)

    使用前端路由时,代码无误,但是页面不显示任何东西,控制台报错: Cannot destructure property ‘options’ of ‘(0 , vue__WEBPACK_IMPORTED_MODULE_1__.inject)(…)’ as it is undefined. step1: step3 最终就可以得到期望的效果啦

    2024年02月16日
    浏览(65)
  • 利用Anaconda安装pytorch和CUDA时的报错解决

    安装流程参考:Ubuntu18配置与ROS兼容的深度学习环境(Anaconda3+PyTorch1.10+python3.8+cuda10.2)_ubuntu18在ros使用conda_冰激凌啊的博客-CSDN博客 环境: Ubuntu 18 Gtx 1066 问题1.CUDA安装后却运行不了nvcc -V Command \\\'nvcc\\\' not found, but can be installed with: sudo apt install nvidia-cuda-toolkit 答:有两种情况。第

    2024年02月13日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包