【Quartus | verilog 系列】实现 3-8译码器

这篇具有很好参考价值的文章主要介绍了【Quartus | verilog 系列】实现 3-8译码器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

实验一: 3-8译码器(原理图输⼊设计)

 2.1设计输⼊

    1. 将3-8译码器A、B、C端作为输⼊,Y作为输出。

    2. 其余引脚按照3-8译码器功能要求连接。

 2.2电路仿真

    1. 激励⽂件的输⼊包含A、B、C的8种状态

    2. 功能仿真

1. 给出3-8译码器的真值表:

【Quartus | verilog 系列】实现 3-8译码器

2. 实验步骤、实验内容截图(从创建⼯程开始到仿真结束)

1.路径设置

 

【Quartus | verilog 系列】实现 3-8译码器

2.器件选择

 

【Quartus | verilog 系列】实现 3-8译码器

3.汇总

 

【Quartus | verilog 系列】实现 3-8译码器

4.创建BDF

 

【Quartus | verilog 系列】实现 3-8译码器

5.原理图设计

 

【Quartus | verilog 系列】实现 3-8译码器

6.编译结果

 

【Quartus | verilog 系列】实现 3-8译码器

7.创建VWF进行功能仿真

 

【Quartus | verilog 系列】实现 3-8译码器

 

【Quartus | verilog 系列】实现 3-8译码器

波形图中CBA按照000 , 001 , 010 , 011 ....递增文章来源地址https://www.toymoban.com/news/detail-508230.html

到了这里,关于【Quartus | verilog 系列】实现 3-8译码器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • verilog——三八译码器

    三八译码器 test_bench代码

    2024年02月12日
    浏览(29)
  • 【Verilog七段译码器】

    本人只是初学,代码经过实验验证,仅供参考 我自己查找模仿编写运行的代码,如有侵权,联系删除。 这是译码器,其作用是将四位 BCD 码转换成七段数码管的段码,显示 1、 2、3…数字。 简单的译码器,书上的例题,只是我多设置了一个输出B

    2024年02月07日
    浏览(30)
  • 北邮22级信通院数电:Verilog-FPGA(7)第七周实验(2):BCD七段显示译码器(关注我的uu们加群咯~)

    北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.verilog代码 1.1 decoder_led.v 1.2 decoders.v 二.管脚分配 三.效果展示 四

    2024年02月04日
    浏览(40)
  • Verilog 3线-8线译码器设计

    任务描述 相关知识 3线-8线译码器的功能 case语句 编程要求 说明  源代码 设计一个3线-8线译码器。运用Verilog HDL进行设计,完善译码器的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。 需要掌握: 1.3线-8线译码器的功能; 2.如何用case语句进行逻辑功能的描

    2024年02月08日
    浏览(40)
  • 实验二 译码器及其应用

    五、实验步骤与实验结果 法一:基于附件一代码实现74138功能 1、用VHDL设计38译码器。打开QuarterII新建一个基于ALTERA的Cyclone系列FPGA芯片EP1C3T144C8N的项目保存在D:dianluecp1文件夹中,新建一个Verilog HDL文件,将附件一代码写入,运行无错误,进行引脚锁定(图1-1),重新运行,无误

    2023年04月17日
    浏览(27)
  • 实验二:译码器功能测试及应用

    实验目的与要求 : 目的: (1)了解和正确使用MSI组合逻辑部件; (2)掌握一般组合逻辑电路的特点及分析、设计方法; (3)学会对所设计的电路进行逻辑功能测试的方法; (4)察组合辑电路的竞争冒险现象。 要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并

    2024年02月06日
    浏览(31)
  • 使用verilog编写三八译码器及四位全加器

    目录 一、三八译码器原理 二、使用verilog编写三八译码器 1.使用verilog代码如下: 2.verilog的数据类型 (1)wire类型 (2)reg类型 三、使用verilog编写全加器 1.一位全加器  2.四位全加器 3.八位全加器  总结 三八译码器的输入信号有三个,相当于有八个二进制编码可以输入,每个

    2024年02月04日
    浏览(32)
  • EDA实验-----3-8译码器设计(QuartusII)

    目录 一. 实验目的 二. 实验仪器 三. 实验原理及内容 1.实验原理 2.实验内容 四.实验步骤 五. 实验报告 六. 注意事项  七. 实验过程 1.创建Verilog文件,写代码 ​编辑 2.波形仿真 3.连接电路图 4.烧录操作 学会Verilog HDL的case语句应用。 学会Verilog HDL的if语句应用。 学会使用Ver

    2024年02月05日
    浏览(44)
  • 数字逻辑与部件设计基础实验——3-8译码器

    用连续值 或者always语句实现3-8译码器74138 了解3-8译码器的功能,锻炼根据功能表实现硬件功能的能力 熟悉上板操作的步骤和流程 74138的器件手册中的Function Tables: 用verilog语言在vivado环境下编写源文件,实现3-8译码器。经综合、实现、生成bitstream文件后,将bit文件下载到NE

    2024年02月02日
    浏览(27)
  • FPGA二四译码器设计及实现

    FPGA二四译码器设计及实现 在数字电路中,二进制的计数方式广泛应用于各种场合。然而,当我们需要控制多个开关或LED时,手动进行二进制转换并不是一种好的选择。因此,在这种情况下,二进制译码器就显得尤为重要。 二四译码器是一种将两个输入字线转换为四个输出字

    2024年02月04日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包