Quartus中打开ModelSim遇到问题汇总

这篇具有很好参考价值的文章主要介绍了Quartus中打开ModelSim遇到问题汇总。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一:

Quartus中打开ModelSim遇到问题汇总

问题:这类问题得原因是可能是网上某些教程要求Modelsim要勾选以管理员身份运行导致的。

解决方法:取消勾选以管理员身份运行。

Quartus中打开ModelSim遇到问题汇总

二:

Quartus中打开ModelSim遇到问题汇总

问题:Assignments - Settings - EDA tool Settings - tool name仿真软件没有选对。

解决方法:选择相应的正确仿真软件,注意区分ModelSim和ModelSim-Altera。

三:

其他类报错,可以在tools - options - ModelSim(ModelSim-Altera)的路径后加上\

Quartus中打开ModelSim遇到问题汇总

注意:野火FPGA的教程路径要添加到最后一个文件夹,(win64)详情如图。

以上都是博主在关联时遇到的问题,还有请在评论区补充,谢谢浏览!

 文章来源地址https://www.toymoban.com/news/detail-508239.html

 

 

到了这里,关于Quartus中打开ModelSim遇到问题汇总的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(34)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(31)
  • Quartus使用步骤及联合Modelsim仿真教程

    #使用记录# 对于Quartus的安装步骤这里不再进行讲解,modelsim的安装步骤后续文章会进行讲解,这里也就不过多说明。言归正传,现在来开始我们的使用教程: 1、首先点击打开Quartus软件,博主这里使用的是22版本的,其他版本的步骤也基本一样。 2、我们可以看到如下界面,点

    2024年02月05日
    浏览(36)
  • Quartus自动生成Testbench并调用Modelsim

    在这里写个笔记,标题的全过程,万一忘了再回来看。由于公开,希望见到这篇博客的人好运连连,不脱发,不肾虚。 在程序编译完成(重点)后,先设置软件存在路径,该路径下是能找到modelsim.exe这种。 ToolsOptionsEDA tools option设置相应路径   Assignmentssetting 开始摆烂,路径

    2024年02月08日
    浏览(34)
  • vivado工程转换到quartus下联合modelsim仿真

    版本信息:quartus II 13.1  、modelsim DE 10.6c vivado用习惯了,现在快速换到quartus下仿真测试。 写一个操作文档,以fpga实现pcm编码为例。 目录 一、建立工程 1、准备源码和仿真文件  2、新建工程 3、加载源文件 4、选择器件 5、仿真器配置 6、工程信息 二、配置工程 7、设置顶层文

    2024年02月03日
    浏览(27)
  • QuartusⅡ+Modelsim:error loading design错误

    最近在学习verilog编程 今天在做时延与门逻辑仿真时,Modelsim怎么也出不来仿真波形,一直显示错误 Error loading design # Error: Error loading design # Pausing macro execution # MACRO ./time_delay_module_run_msim_rtl_verilog.do PAUSED at line 12 我上上下下检查了好多遍,也在网上查找了许多信息。 pass掉了许

    2024年02月03日
    浏览(26)
  • 如何用modelsim仿真包含quartus IP核的工程

    最近在仿真sdram,遇到了一些问题,现在解决了,写出来分享给大家。 问题: 使用quartus和modelsim联合仿真sdram的时候,tb文件中例化了sdram的top文件和sdram的仿真模型,这样会报错,我就想着直接在modelsim中仿真,但是由于模块中有quartus生成的ip核,只在modelsim中仿真也会报错,

    2024年02月03日
    浏览(34)
  • Quartus II 13.1与Modelsim SE的安装

    软件资源下载链接:提取码:38ny 注册资源下载链接(用于Quartus II):提取码:zbho 注册资源下载链接(用于Modelsim):提取码:hi5b 在文件 QuartusII_13.1 文件下,解压 QuartusSetup-13.1.0.162.rar ,: 解压后得到: 运行这个可执行程序: 点击next: 之后勾选对应选项并点击next: 之后

    2024年02月06日
    浏览(33)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包