基于FPGA的交通灯电路设计(含程序)

这篇具有很好参考价值的文章主要介绍了基于FPGA的交通灯电路设计(含程序)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

题目要求

设计方法

部分程序设计


题目要求

(1) 以车为主体,绿灯、黄灯、红灯、绿灯依次点亮;

(2)十字路口,具有两组红绿灯;

(3)采用倒计时显示剩余时间,数码管动态显示;

(4)红绿灯时间按键可调。

设计方法

用六位数码管显示,靠左和靠右两位数码管分别显示东西和南北方向的倒计时显示,我开发板刚好6个LED灯,但是是竖着的,上面三位表示南北方向的红黄绿灯,下面三位表示东西方向的红黄绿灯。平面图如下:

基于FPGA的交通灯电路设计(含程序)

首先需要一个1hz频率进行倒计时计数,然后对倒计时在不同时间段做出不同的判断即可,为了方便理解,我画出流程图如下:(需要注意的是红灯亮的时间应该是黄灯和绿灯时间之和)

基于FPGA的交通灯电路设计(含程序)

部分程序设计

module traffic(
    input clk,
    input rstn,
    input [6:0]d2,//绿灯点亮时间
    output reg [3:0] data1,
    output reg [3:0] data2,
    output reg [3:0] data5,
    output reg [3:0] data6,
    output reg [5:0] led
    );

	wire [6:0]d3;	//红灯点亮时间
	assign d3=d2+5;
//1hz生成
	reg [26:0]cn1;
	reg clk1hz;
	always@(posedge clk or negedge rstn)
		begin
			if(!rstn)
				begin
					cn1<=0;
					clk1hz<=0;
				end
			else if(cn1>=24_999_999)//1hz频率生成
				begin
					clk1hz<=!clk1hz;
					cn1<=0;
				end
			else 
				cn1<=cn1+1;
		end
//计数	
	reg [6:0]count;
	always@(posedge clk1hz or negedge rstn)
		begin
			if(!rstn)
				count<=0;
			else if(count>=(d3+d3))//红灯时间d3,加上黄灯和绿灯时间d3
				count<=0;
			else
				count<=count+1;
		end
//南北方向显示
	reg [6:0]north_red,north_green,north_yellow;
	always@(posedge clk1hz or negedge rstn)
		begin
			if(!rstn)
				begin
					north_red<=0;
					north_green<=0;
					north_yellow<=0;
					led[2:0]<=0;
					data1<=0;
					data2<=0;
				end
			else if(count<=d3)//南北方向红灯点亮
				begin
					north_red<=d3-count;
					led[2:0]<=3'b110;
					data1<=north_red%10;//取时间低位
					data2<=north_red/10;//取时间高位
				end
			else if((count>d3)&&(count<=(d3+d3-5)))//南北方向绿灯点亮
				begin
					north_green<=d3+d3-5-count;
					led[2:0]<=3'b011;
					data1<=north_green%10;
					data2<=north_green/10;
				end
			else
				begin
					north_yellow<=d3+d3-count;//南北方向黄灯点亮
					led[2:0]<=3'b101;
					data1<=north_yellow%10;
					data2<=north_yellow/10;
				end
		end
//东西方向显示		
	reg [6:0]east_red,east_green,east_yellow;
	always@(posedge clk1hz or negedge rstn)
		begin
			if(!rstn)
				begin
					east_red<=0;
					east_green<=0;
					east_yellow<=0;
					led[5:3]<=0;
					data5<=0;
					data6<=0;
				end
			else if(count<=d3-5)
				begin
					east_green<=d3-5-count;
					led[5:3]<=3'b011;
					data5<=east_green%10;
					data6<=east_green/10;
				end
			else if((count>=(d3-5))&&(count<=d3))
				begin
					east_yellow<=d3-count;
					led[5:3]<=3'b101;
					data5<=east_yellow%10;
					data6<=east_yellow/10;
				end
			else
				begin
					east_red<=d3+d3-count;
					led[5:3]<=3'b110;
					data5<=east_red%10;
					data6<=east_red/10;
				end
		end
endmodule

   

工程.v文件https://download.csdn.net/download/m0_59487432/85684464?spm=1001.2014.3001.5503

基于FPGA的交通灯电路设计(含程序)文章来源地址https://www.toymoban.com/news/detail-509491.html

到了这里,关于基于FPGA的交通灯电路设计(含程序)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于FPGA的交通灯设计(加强版,涵盖倒计时)

    ##一、实验任务要求   1)实现一交通十字路口处红绿灯的基本定时控制功能,要求东西方向灯色循环为绿灯45秒,黄灯5秒,左拐灯15秒,黄灯5秒,红灯40秒,黄灯5秒;南北方向灯色循环为红灯65秒,黄灯5秒,绿灯20秒,黄灯5秒,左拐灯15秒,黄灯5秒。 2)实现东西方向和南北方

    2024年02月04日
    浏览(31)
  • 【FPGA】EDA技术综合设计 交通灯设计

    1、设计原理 (1)对项目进行模块划分、对各模块的功能及其端口进行说明。 ①分频器部分 降低实验板固有频率,分出频率用来计数和数码管动态扫描。 ②状态机部分 0,1,2,3四个基础状态以及进一步完善后的检修和行人通行状态。 ③LED灯与数码管显示部分 LED灯模拟东西

    2024年02月12日
    浏览(33)
  • FPGA实验四:交通灯控制器设计

    目录 一、实验目的 二、设计要求 三、实验代码 1.design source文件代码

    2024年02月13日
    浏览(40)
  • FPGA智能交通灯控制器系统系统设计

    把由5OM的有源晶振产生的现场可编程逻辑器件FPGA 的系统时钟输入到分频模块,经分频模块分频产生频率为1Hz的时钟脉冲,作为控制定时模块、控制模块、紧急模块、计数模块的时钟信号,然后再由定时模块来控制紧急模块和控制模块,按照交通管理规则控制交通工作状态的

    2024年02月04日
    浏览(33)
  • EDA实验(Quartus Ⅱ+fpga) (四)---交通灯设计

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (一)实验目的 (1)熟悉交通灯控制器的工作原理; (2)了解设计中的优化方案; (3)进一步掌握状态机的设计; (4)学习较复杂数字系

    2024年02月02日
    浏览(42)
  • 基于高云FPGA开发板的十字路口交通灯

    结合高云MiniStar_Nano EDA开发板,完成设计十字路口交通信号灯控制系统。 1.要求该交通灯为三色灯控制器,红灯亮表示禁止通行,绿灯亮表示允许通行,黄灯亮表示要求压线车辆快速穿越。主干道和次干道灯的亮灭时序按照交通规则设置。 2.可以调整主干道和次干道绿灯和红

    2024年01月19日
    浏览(44)
  • 基于单片机的交通灯设计

    本系统由单片机系统、键盘、LED 显示、交通灯演示系统组成。系统包括人行道、左转、右转、以及基本的交通灯的功能。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。随着社会经济的发

    2024年02月06日
    浏览(69)
  • 基于机器视觉的交通灯控制系统设计

    随着工业自动化和汽车行业的发展,汽车数量猛增,导致交通故障和城市交通拥堵发生的现象越来越频繁。即使道路日益扩宽,但仍无法解决现有存在问题,交通环境问题仍日趋严重。为了解决该问题,本文对交通灯配时控制器展开设计和研究,提出了一种基于机器视觉的交

    2024年02月04日
    浏览(34)
  • 基于单片机控制的交通灯系统设计

    本设计是交通灯的控制实验,必须要先了解实际交通灯的变化规律。假设一个路口为东西南北走向,即十字路口,初始状态零为东西南北灯都熄灭。然后转状态一东西绿灯通车,南北为红灯。过段时间转状态二,东西绿灯闪几次转黄灯,延时几秒,南北仍为红灯。再转状态三

    2024年02月08日
    浏览(46)
  • 基于单片机的智能交通灯系统的设计

    目 录 1绪论 1 2课题的设计要求和设计方案 2 2.1设计要求 2 2.1.1 题目概述 2 2.1.2 设计任务 2 2.1.3 设计要求 2 2.2设计方案 2 2.2.1供电方案 2 2.2.2输入方案 3 2.2.3显示界面方案 3 2.2.4交通灯方案 3 2.2.4.1交通灯规则方案 3 2.2.4.2 各种模式下通行时间 5 2.2.5万年历设计方案 5 3芯片的介绍及

    2023年04月08日
    浏览(35)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包