解决Vivado仿真卡在executing simulate step

这篇具有很好参考价值的文章主要介绍了解决Vivado仿真卡在executing simulate step。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

解决Vivado仿真卡在executing simulate step

问题描述:

偶然打开vivado仿真时,一直卡在executing simulate step,卡的时间不等,过一段时间之后,vivado直接闪退。

解决办法:

1、强制关闭vivado软件。
2、虽然vivado软件关了,但是系统后台还在运行着xsimk进程,需要打开资源监视器,搜索xsimk进程,再强制关闭所有与之有关的进程。

解决Vivado仿真卡在executing simulate step

3、再把该工程下的xxx.sim文件夹里面的所有仿真缓存删除。
4、修改vivado的仿真设置,取消增量式仿真

解决Vivado仿真卡在executing simulate step文章来源地址https://www.toymoban.com/news/detail-510529.html

5、再启动仿真,问题解决。(此时可以再重新开启增量式仿真)

到了这里,关于解决Vivado仿真卡在executing simulate step的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【技巧】Vivado 仿真器simulation显示模拟波形图(非数字波形)

    ①打开Vivado的任意一个可以运行的工程 ②点击Simulation - Run Behavioral Simulation进行仿真 ③等待运行结束后会弹出如图2所示的数字波形图 ④右键点击需要查看模拟波形的项目,在Waveform Style下选择Analog即可显示出模拟波形 Simulation运行后的结果一般为十六进制的数值,我们可以通

    2024年02月11日
    浏览(32)
  • Quartus II简单仿真及no simulation input file错误解决方法

    使用步骤                                                 以上就是本文要讲的全部内容,本文只简单介绍了Quartus II的使用,方便新手进行入门。

    2024年02月02日
    浏览(28)
  • Build step ‘Execute shell‘ marked build as failure

    Jenkins构建时运行脚本报错时: 1.磁盘空间不足导致报错。 2.请在执行的shell脚本中第一行加#!/bin/bash 注意#!/bin/bash只能放在第一行 3.如果2还是不行,那么将#!/bin/bash替换为#!/usr/bin/env bash试试

    2024年02月13日
    浏览(65)
  • Vivado仿真中输出高阻态FPGA故障分析与解决

    Vivado仿真中输出高阻态FPGA故障分析与解决 在FPGA的设计中,Vivado仿真常常被用来验证设计的正确性。但是,有时候仿真结果会出现输出高阻态的情况,这个问题可能导致设计无法正常工作。本篇文章将详细分析这个问题出现的原因,并提供一些可能的解决方法。 当我们进行

    2024年02月09日
    浏览(92)
  • vivado仿真时使用的代码与实际不一致的解决办法

    在使用仿真软件时经常会遇到实际需要时间较长,而仿真需要改写实际代码运行时间的问题,在vivado软件中找到了解决办法 这里使用一个最简单的例子来说明一下,学过FPGA的朋友肯定可以看出来就是一个简单的计数器使LED每500ms交替闪烁一次 这里简单做一下仿真 可以看的仿

    2024年02月05日
    浏览(33)
  • 【常见 Error & Bug】Vivado仿真报错 ERROR: [XSIM 43-3322] 解决方法

    在进行 DDR3 实验时,仿真时出现以下报错信息。 ERROR: [XSIM 43-3322] Static elaboration of top level Verilog design unit(s) in library work failed. 谷歌翻译为:错误:[XSIM 43-3322]顶层Verilog设计单元在库工作中静态精化失败。 经过仔细检查,发现出现这个报错主要可能有以下两种原因: 例化名写错

    2024年02月11日
    浏览(49)
  • 如何解决Vivado仿真时提示:另一个程序正在使用此文件 behavxsimsimulate.log

    Vivado仿真错误提示: 使用非Vivado自带的Text Editor(文本编辑器,如Vscode、Sublime等),在开始新的仿真时,前一次仿真没有关掉,导致log文件被一直占用。 方法一 关掉代码编辑器再进行仿真即可恢复正常 方法二 1、打开任务管理器(快捷键Ctrl + Shift + Esc) 2、点击性能 3、打开

    2024年02月12日
    浏览(28)
  • 基于FPGA的FIR低通滤波器实现(附工程源码),matlab+vivado19.2+simulation

    本文为FPGA实现FIR滤波器仿真过程,附源代码。 提示:以下是本篇文章正文内容,下面案例可供参考 打开MATLAB在命令行窗口输入: fadtool 回车后在滤波器设计界面设置滤波器参数如下 之后点击如图标志,设置定点,在菜单栏\\\"目标(R)\\\"出选择生成对应滤波器系数.COE文件 mat

    2024年02月11日
    浏览(32)
  • 一款在线电路仿真小工具——Circuit Simulator

    目录 一、前言 二、 网址 三、常用设置及注意事项 1.常用设置 2.注意事项 四、举例说明 五、其他          “Circuit Simulator ”是一个免费的在线电路仿真工具,可以模拟门电路、运算放大器、555、单稳态等多种功能,动态显示模拟效果和电流方向,并显示波形和分析状态。

    2023年04月15日
    浏览(20)
  • 西门子_Step7软件仿真方法

    西门子_Step7软件仿真方法 现在的PLC厂商提供的开发环境都具备仿真能力。无论是西门子、三菱、罗克韦尔还是ABB 或是其他一些厂商提供的产品都具有仿真功能。 仿真就是在没有硬件的情况下来测试程序功能,同时也为初学者提供了方便,即便没有硬件 我们也能进行试验,可

    2024年02月06日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包