Vivado创建项目和仿真

这篇具有很好参考价值的文章主要介绍了Vivado创建项目和仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、创建项目

Create Project:

Vivado创建项目和仿真


Next

Vivado创建项目和仿真


填写项目名,选择项目位置,Next

Vivado创建项目和仿真


选择RTL项目,勾选Do not specify sources at the time,即不添加源文件,Next

Vivado创建项目和仿真


选择芯片类型,Next

Vivado创建项目和仿真


Finish

Vivado创建项目和仿真



二、添加Verlog设计文件


1. 添加t.v文件

Add Sources

Vivado创建项目和仿真


选择第二个,Next

Vivado创建项目和仿真


Create File

Vivado创建项目和仿真


选择文件类型,填写文件名,OK

Vivado创建项目和仿真


Finish

Vivado创建项目和仿真


定义模块输入输出端口,暂时不设置,直接OK

Vivado创建项目和仿真


2. 编写文件

双击打开文件:

Vivado创建项目和仿真

Vivado创建项目和仿真


编写:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/09/10 16:06:39
// Design Name: 
// Module Name: t
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module t(
    input   wire    a,
    input   wire    b,
    
    output  wire    c,
    output  wire    d,
    output  wire    e,
    output  wire    f
);

    assign c = ~a;
    assign d = a & b;
    assign e = a | b;
    assign f = a ^ b;


endmodule



三、添加仿真文件


1. 添加t_tb.v文件

Vivado创建项目和仿真

添加仿真文件,填写名称:

Vivado创建项目和仿真


OK

Vivado创建项目和仿真


Yes

Vivado创建项目和仿真


2. 编写文件

打开文件:

Vivado创建项目和仿真


编写:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/09/10 16:48:54
// Design Name: 
// Module Name: t_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module t_tb(

    );
    
    parameter   CYCLE   =   20;
    
    reg     clk;
    
    reg     a;
    reg     b;
    
    wire    c;
    wire    d;
    wire    e;
    wire    f;
    
    
    always #(CYCLE / 2) clk = ~clk;
    
    initial begin
        clk = 0;
        a = 1'b0;
        b = 1'b0;
        repeat(10) begin
            a = {$random};
            b = {$random};
            # (CYCLE * 5);
        end
        
        $finish;
    end
    
    
    t t_t(
       /*input   wire*/  .a(a),
       /*input   wire*/  .b(b),

       /*output  wire*/  .c(c),
       /*output  wire*/  .d(d),
       /*output  wire*/  .e(e),
       /*output  wire*/  .f(f)
    );
    
endmodule


四、仿真


1. 运行仿真

运行仿真:

Vivado创建项目和仿真


查看仿真波形:

Vivado创建项目和仿真


2. 仿真波形操作

按键 操作
I 扩大
O 缩小
Shift + 鼠标滚轮 左右移动

右击添加波形:

Vivado创建项目和仿真

改变波形颜色:

Vivado创建项目和仿真


修改进制表示:

Vivado创建项目和仿真


一些快捷键

按键 功能
CTRL + D 复制光标所在行
CTRL + / 单行注释
CTRL + SHITF + / 多行注释

参考

FPGA创建工程

Xilinx Vivado的使用详细介绍文章来源地址https://www.toymoban.com/news/detail-511974.html

CTRL + D | 复制光标所在行 |
| CTRL + / | 单行注释 |
| CTRL + SHITF + / | 多行注释 |

参考

FPGA创建工程

Xilinx Vivado的使用详细介绍

到了这里,关于Vivado创建项目和仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • use renv with this project & create a git repository

    目录 1-create a git repository 2-Use renv with this project 今天在使用Rstudio过程中,发现有下面两个新选项(1)create a git repository (2) Use renv with this project.  选中这两个选项后,创建新项目,在项目目录下可以看到多了几个文件夹,见下图: 这部分没有细看。有时间再补上吧。 参考:

    2024年02月08日
    浏览(33)
  • Proteus创建新项目的详细操作步骤(keil和Proteus联合仿真)

    1、打开Proteus软件 2、创建新工程 点击New Project 设置工程名,点击browse找到想要存放工程的位置,可以新建一个文件夹,将本工程的东西可以都保存在一个文件夹中。然后点击next。 之后一路next还有Finish 3、绘制电路图 点P就可以搜索需要的芯片以及电子元件 找到需要的器件,

    2024年02月08日
    浏览(38)
  • vivado工程复制后报错[Common 17-1294] Unable to create directory 解决过程及方法

    描述:vivado工程从一台电脑复制到另一台电脑里后,在进行综合时会报错“[Common 17-1294] Unable to create directory [......”。花了好大力气才解决,故写下解决过程和我最终的解决方法,以供大家参考。 报错图示: (这里的“E:/NEXYS4_DDR_.....” 是原工程所在电脑上的路径,复制到另

    2024年02月17日
    浏览(38)
  • 【小技巧】vivado中功能仿真和时序仿真

    欢迎订阅《FPGA/MATLAB/SIMULINK系列教程》 Simulink教程目录 目录 1.1功能仿真和时序仿真概述 1.2vivado中功能仿真和时序仿真操作方法        Vivado是Xilinx公司的一款集成开发环境(IDE),包括用于FPGA设计、仿真和验证的各种工具。在Vivado中进行功能仿真和时序仿真的操作方法如下

    2024年02月11日
    浏览(33)
  • vivado仿真波形

    以查看上升沿D触发器的仿真波形为例: 上升沿D触发器的设计文件(仅参考): 上升沿D触发器的仿真激励文件(仅参考): 在编写完设计文件和仿真激励文件后: 1、点击左侧项目管理器中的“Run simulation”。 2、在弹出的选项卡中点击“Run Behavioral Simulation”。 3、弹出加载

    2024年02月04日
    浏览(20)
  • Vivado仿真功能

    仿真是FPGA开发中常用的功能,通过给定测试激励,对比输出结果,来验证设计的功能性。本文将介绍vivado中仿真功能的使用。 Vivado支持:Vivado Simulator、Questa、ModelSim、IES、VCS、Rivera-PRO和Active-HDl等等仿真工具。 vivado中的仿真可以分为三种: RTL级行为仿真:一种在综合和实现

    2024年02月11日
    浏览(30)
  • vivado仿真 文件读取和写入

    首先创建一个TXT文件。 $readmemb和$readmemh用来从文件中读取数据到存储器中。其中readmemb要求每个数字是二进制数,readmemh要求每个数字必须是十六进制数字。数字不能包含位宽说明,数字中可以有不定值x或X,高阻值z或Z,和下划线(_),和Verilog语法中的用法是一样的。 一共有

    2024年02月11日
    浏览(36)
  • vivado安装、仿真、综合与部署

    本文介绍基于windows系统的vivado安装流程,以及基本使用方法。 打开Xilinx vivado的官方网站,点击进入网站底部的“ 下载与许可 ”选项。 在“ Version ”下可以选择需要下载的版本,更早的版本可以在“ Vivado 存档 ”选项下找到。 选择需要下载的版本,并且选择windows环境下v

    2024年02月06日
    浏览(45)
  • Vivado工程怎么用VCS仿真

    在进行数字电路设计的的时候,我们用Vivado写了一个工程,但是大家都知道Vivado自带的仿真是很拉胯的,信号多了就很慢很不方便,很容易卡死,所以就需要用VCS去进行仿真,有2种方法。 1.首先也是最重要的一步,你需要把Vivado的所有的IP编译成VCS可以识别的库文件,因为你

    2023年04月08日
    浏览(39)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包