Vivado仿真功能

这篇具有很好参考价值的文章主要介绍了Vivado仿真功能。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

仿真是FPGA开发中常用的功能,通过给定测试激励,对比输出结果,来验证设计的功能性。本文将介绍vivado中仿真功能的使用。

一、 仿真功能概述

Vivado支持:Vivado Simulator、Questa、ModelSim、IES、VCS、Rivera-PRO和Active-HDl等等仿真工具。

vivado中的仿真可以分为三种:

RTL级行为仿真:一种在综合和实现前用来验证设计的方法,主要用来检测模块的功能是否符合要求。

综合后仿真:综合后使用网表进行仿真,以验证综合后设计是否满足要求,包括时序仿真和功能仿真。

实现后仿真:布线实现后进行功能仿真和时序仿真,接近真实的运行情况。

注意:综合后仿真和实现后仿真,运行耗时较长,一般不用。

Vivado仿真功能

二、测试激励

测试激励(Testbench)是一种用于仿真的输入信号,主要用于触发设计中的逻辑流程并生成仿真波形。在Vivado中,我们可以使用Verilog或VHDL编写测试激励代码,在仿真过程中使用该代码来生成输入信号。

Vivado Simulator支持VHDL(IEEE-STD-1076-1993)、Verilog(IEEE-STD-1364-2001)、SystemVerilog中的可综合子集(IEEE-STD-1800-2009)三种硬件描述语言,此外还支持IEEE P1735加密标准。

在实际应用中,测试激励可以根据需求进行定制,例如添加复杂的数学计算,以更好地测试设计的性能。

推荐阅读:TestBench内容编写与方法总结

三、 第三方仿真器设置

Vivado除了自带的仿真器以外,还有一些第三方仿真器可供选择。使用第三方仿真器可以提高仿真效率和灵活性,并允许设计人员使用他们熟悉的工具进行仿真。

在使用第三方仿真器之前,需要将其设置为Vivado的默认仿真器。这可以通过Vivado中的仿真设置完成。首先,进入Vivado的“Flow Navigator”中选择“Settings”,然后选择“Simulation”,设置默认仿真器为第三方仿真器。

Vivado仿真功能

四、仿真库编译

使用Vivado Simulator时,不需要编译仿真库。如果使用第三方仿真工具时,则必须先编译仿真库,才能正确运行。仿真库中包含了仿真模型,比如FPGA和IP的行为模型和时序模型。编译之后的库可以在多个设计工程中使用。

编译仿真库的方法,如下图:

Vivado仿真功能

Vivado仿真功能

​五、 仿真设置

在vivado仿真设置中,设定目标仿真器、仿真集、仿真顶层模块名称等选项,如下图所示

Vivado仿真功能

​六、仿真集功能

Vivado提供了仿真集(Simulation Sets)功能,可以将不同设计阶段所用到的不同测试激励添加到不同的仿真集中。

Vivado仿真功能

Vivado仿真功能

Vivado仿真功能

​当工程中有多个仿真集时,当前正在使用的仿真集会标注为Active状态:

Vivado仿真功能

七、 总结

本文介绍了FPGA开发中的仿真功能,包括测试激励、第三方仿真器设置、仿真库编译、仿真设置、仿真集功能等方面的内容。


本文将不断定期更新中,点⭐️赞,收⭐️藏一下,不走丢哦

本文由FPGA入门到精通原创,有任何问题,都可以在评论区和我交流哦

公众号为“FPGA入门到精通”,免费学习资料大礼包下载,github开源代码:“FPGA知识库”

你的支持是我持续创作的最大动力!如果本文对你有帮助,请给一个鼓励,谢谢。文章来源地址https://www.toymoban.com/news/detail-514002.html

到了这里,关于Vivado仿真功能的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 华为手机自带翻译功能,真是厉害了

    华为手机搭载的鸿蒙系统隐藏了很多好用的功能。今天教大家用两根手指按住2秒,实时翻译各种语言。如果您不知道如何使用它,那就太浪费了。 具体操作步骤如下: 步骤一:首先我们打开华为手机的设置,找到【智能助手】,进入后点击【智慧屏识别】,直接开启“智慧

    2024年02月11日
    浏览(26)
  • 前端开发:JS中常用事件汇总

    在前端开发中,关于事件相关的操作是非常常见的操作,尤其是实际业务场景中涉及复杂交互的需求。在JS中比较常用的事件有很多,而且涉及不同方式不同类型的点击事件,一般情况下事件会和函数结合使用,这就是事件和函数不分家的原因,即通过发生的事件来驱动函数

    2024年02月14日
    浏览(48)
  • go语言开发工作中常用命令

    Go 编程语言中的常用命令主要用于构建、测试、运行和管理项目。以下是一些常见的 Go 命令,以及它们对项目的用途: go run 用途: 编译并运行 Go 程序。 示例:  go build 用途: 编译 Go 程序,生成可执行文件。 示例:  go test 用途: 运行测试文件。 示例:  go get 用途: 下

    2024年01月19日
    浏览(31)
  • 6款常见的无人机仿真开发平台(附超详细特点功能对比)

    随着无人机与无人集群的快速发展,开发者对于无人机系统仿真测试环境的需求也日渐显现。 本文整理了几款常见的无人机仿真平台 ,旨在为开发者提供一款更为易用、通用且真实可靠的平台。 无人机与无人集群的研制应用快速发展,无人机系统研制过程中试验成本高,空

    2024年02月03日
    浏览(28)
  • 【Linux驱动开发100问】Linux驱动开发工程师在面试中常被问到的问题汇总

    🥇今日学习目标:什么是Kconfig?如何使用Kconfig? 🤵‍♂️ 创作者:JamesBin ⏰预计时间:10分钟 🎉个人主页:嵌入式悦翔园个人主页 🍁专栏介绍:Linux驱动开发100问 什么是Linux内核? 如何编译Linux内核? 什么是模块?如何编写和使用模块? 什么是Makefile?如何编写Makefi

    2024年02月06日
    浏览(112)
  • vivado仿真波形

    以查看上升沿D触发器的仿真波形为例: 上升沿D触发器的设计文件(仅参考): 上升沿D触发器的仿真激励文件(仅参考): 在编写完设计文件和仿真激励文件后: 1、点击左侧项目管理器中的“Run simulation”。 2、在弹出的选项卡中点击“Run Behavioral Simulation”。 3、弹出加载

    2024年02月04日
    浏览(20)
  • Vivado创建项目和仿真

    Create Project : Next : 填写项目名,选择项目位置, Next : 选择RTL项目,勾选 Do not specify sources at the time ,即不添加源文件, Next : 选择芯片类型, Next : Finish : Add Sources : 选择第二个, Next : Create File : 选择文件类型,填写文件名, OK : Finish : 定义模块输入输出端口

    2024年02月11日
    浏览(25)
  • vivado仿真 文件读取和写入

    首先创建一个TXT文件。 $readmemb和$readmemh用来从文件中读取数据到存储器中。其中readmemb要求每个数字是二进制数,readmemh要求每个数字必须是十六进制数字。数字不能包含位宽说明,数字中可以有不定值x或X,高阻值z或Z,和下划线(_),和Verilog语法中的用法是一样的。 一共有

    2024年02月11日
    浏览(36)
  • vivado安装、仿真、综合与部署

    本文介绍基于windows系统的vivado安装流程,以及基本使用方法。 打开Xilinx vivado的官方网站,点击进入网站底部的“ 下载与许可 ”选项。 在“ Version ”下可以选择需要下载的版本,更早的版本可以在“ Vivado 存档 ”选项下找到。 选择需要下载的版本,并且选择windows环境下v

    2024年02月06日
    浏览(45)
  • 安卓上最好用的Linux终端仿真软件:Termux 从入门到精通深度剖析

    用过Linux的都知道,Linux里面最好用的就是terminal(终端),他提供了对Linux的所有操作,可以轻松的对文件,权限等进行管理,在安卓下也是一样,只不过我们平时在使用安卓的时候接触不到命令行,全部都是图形化操作,如果都像这样依赖可视化软件的话,那么很难有更高

    2024年02月21日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包