Quartus调用ModelSim进行仿真的步骤

这篇具有很好参考价值的文章主要介绍了Quartus调用ModelSim进行仿真的步骤。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程;

Quartus调用ModelSim进行仿真的步骤

Quartus调用ModelSim进行仿真的步骤

2.在工程中写好程序,保存并编译,确定没有错误后进行下一步;

3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有ModelSim-Altera一栏,点击后面的省略号选择ModelSim所安装的位置,注意后面两项modelsim_ase、win32aloem这两项是相同的,前面的可能会根据安装位置不同而不同,请找到自己电脑安装ModelSim的位置进行选择;

Quartus调用ModelSim进行仿真的步骤

4.选择Assignments菜单中的settings,在左侧的EDA Tool Settings中选择Simulation,如果按照1中设置,这里如图中所示,如果没有1中的设置,在这里将红框中的内容进行更改。

Quartus调用ModelSim进行仿真的步骤

5.选择Processing菜单中的Start,单击Start菜单中Start Test Bench Template Writer。会生成一个.vt文件,接下来选择File菜单下的Open,选择simulation文件夹→ modelsim文件夹,在里面选择文件类型为.vt的文件,打开后将程序中module后的代码复制,如本例中为红框内。

Quartus调用ModelSim进行仿真的步骤

Quartus调用ModelSim进行仿真的步骤

6.再次按照4中操作,这一次将下面的Compile test bench选中,点击后面的Test Benches,点击New,在弹出的窗口中的Test bench name中粘贴5中复制的内容,在下面File name点击后面的省略号,选择5中生成的.vt文件。点击Add,OK。

Quartus调用ModelSim进行仿真的步骤

Quartus调用ModelSim进行仿真的步骤

7.选择Tools菜单,选择Run EDA Simulation Tool→EDA RTL Simulation进行仿真。

Quartus调用ModelSim进行仿真的步骤文章来源地址https://www.toymoban.com/news/detail-515633.html

到了这里,关于Quartus调用ModelSim进行仿真的步骤的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • 【FPGA实验0】Quartus建立工程文件以及仿真

    FPGA的第一课,学习Quartus编程运行仿真烧录的这一个流程,以一个十位的计数器为例。 芯片商家:ALTERA 编程软件:Quartus II 试验箱上的芯片型号:EP4CE6E22C8N 一、新建一个工程 打开软件后会会弹出一个窗口,点击【创建向导】 第一步:设置工程名和顶层硬件模块名 第二步:加

    2024年02月05日
    浏览(28)
  • QUARTUS联合modelsim仿真(quartus13.0)

    设置仿真软件(Modelsim/ Modelsim-Altera)路径 (1)点击tools -Options (2)选择EDA Tool Options PS:看自己情况设置,使用独立Modelsim仿真时设置ModelSim处的值为ModelSim安装路径下的win64(或者32)路径,使用独立Modelsim-Altera仿真时,设置Modelsim-Altera处的值为Modelsim-Altera路径(一般在quart

    2024年02月09日
    浏览(32)
  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(32)
  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(34)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(27)
  • Quartus自动生成Testbench并调用Modelsim

    在这里写个笔记,标题的全过程,万一忘了再回来看。由于公开,希望见到这篇博客的人好运连连,不脱发,不肾虚。 在程序编译完成(重点)后,先设置软件存在路径,该路径下是能找到modelsim.exe这种。 ToolsOptionsEDA tools option设置相应路径   Assignmentssetting 开始摆烂,路径

    2024年02月08日
    浏览(33)
  • FIFO(一) —— Quartus中FIFO IP核的学习与modelsim仿真

    1、 FIFO:(First In First Out),是有先进先出特性的缓存器,常被用于数据的缓存或者高速异步数据的交互。 2、 FIFO与普通存储器的区别在于:它没有外部读写地址线(其数据地址由内部读写指针自动加 1 完成),操作简单但不能指定某一地址。 3、主要包含两种:单时钟FIF

    2024年02月08日
    浏览(36)
  • Modelsim 独立仿真IP核步骤

    一、打开软件,创建工程    二、依次添加.v文件:rtl代码、ip核文件、tb文件、仿真库(以fifo Ip核为例) 一定要添加ip核和对应的仿真库,不然会报错! 三、开始仿真      

    2024年02月10日
    浏览(26)
  • Modelsim仿真Xilinx工程时IP核不生效

            在做Xilinx的仿真时一直被IP核无法在Modelsim中生效的问题所困扰,即使在modelsim的do脚本中vlog了IP核的*_netlist.v仍然无效,导致很长一段时间做Xilinx的仿真一直使用Vivado自带的simulation工具。         Vivado自带的工具在进行仿真时,有些低级错误无法给出有效提示,最简

    2024年02月15日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包