VIVADO 综合优化掉模块或者综合太长的原因

这篇具有很好参考价值的文章主要介绍了VIVADO 综合优化掉模块或者综合太长的原因。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.首先,不要怀疑综合工具,大概率自己写的代码有问题。

2.直接进入正题,在综合后的原理图中模块被综合优化掉了,附图如下:

vivado综合时间特别长,fpga开发,硬件工程

可以看到,图中的输入信号没有接到模块内部。

 3.解决方法

(1)从例化入手,看例化信号有没有定义正确,例化端口正确不。

(2)从代码入手,看看有没有多元驱动,博主的问题就是多元驱动造成的。此问题可以从critical waring中看到提示。附图如下:vivado综合时间特别长,fpga开发,硬件工程

(3)还可以看RTL原理图,出现多元驱动时,可以看到有的信号接地或者接电源了,变成一个0或1的常量。

Note:

        之前博主综合太长的原因也是多元驱动造成的,应规范代码写法。

 文章来源地址https://www.toymoban.com/news/detail-516628.html

到了这里,关于VIVADO 综合优化掉模块或者综合太长的原因的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Vivado综合生成BRAM及与LUTRAM的区别

    使用vivado中的BRAM可以通过例化 Block Memory Generator 这一ip并进行相关的设定。 另外可以通过直接编写符合BRAM规范的代码,借助综合工具直接将其综合为BRAM。这时需要通过 (*ram_style=\\\"block\\\"*) 对 array 进行修饰。 下面给出一种看似可以综合为BRAM的示例。 上述代码虽然用 (*ram_style

    2024年02月15日
    浏览(32)
  • vivado 设置综合synthesis支持system verilog

    在用vivado综合代码时,发现utilization资源利用率很少,查了一下各个模块的LUT使用情况,发现只有.v  ,而没有.sv文件。查了下原因主要是synthesis缺少了选项。 1、design sources中右键相关source files的属性选择type为SystemVerilog  2、右键synthesis,选择setting,在More Options选项处 加上指

    2024年02月12日
    浏览(57)
  • 关于Windows vivado综合卡死的问题

    在综合一个比较简单的工程时发现,在做了些许改动之后(添加了ila),发现综合长时间卡死在第一步(running synth_design),这是相当异常的,因为之前短时间内完成综合并能生成比特流。 起初认为是ila的原因,于是尝试减少ila抓取信号的depth、减少interface数量甚至直接去掉

    2024年02月15日
    浏览(45)
  • VIVADO综合时报错 : has multiple drivers

    错误分析 :是multiple drivers。同一个变量,在不同的always 或者assign中被赋值,造成冲突。这在Verilog语言中是不被允许的。尤其是在复制一段代码之后,漏掉要修改的变量名,很容易导致这个问题。 不可综合语句 ——在多个always块中对同一变量赋值 原因探究 :寄存器

    2023年04月08日
    浏览(25)
  • Vivado ip核综合失败,且无任何报错

    如果log信息里有TclStackFree: incorrect freePtr. Call out of sequence?可能是因为计算机的名字不能有中文,改一下就好了。反正我的是,刚装的vivado 2021版,测试软件时找了好几天解决办法https://forums.xilinx.com/t5/Synthesis/TclStackFree-incorrect-freePtr-Call-out-of-sequence-in-2016-4/m-p/742698

    2024年02月13日
    浏览(34)
  • Vivado综合属性系列之二 SRL_STYLE

    目录 一、前言 二、SRL_STYLE         2.1 移位寄存器实现方式         2.2 工程代码         2.3 参考资料         移位寄存器SRL在工程中属于使用频率较高个模块,可用于存储数据,实现串并转换; 根据数据移动方向可分为左移寄存器,右移寄存器,左移是向数据高位移动,

    2024年02月04日
    浏览(35)
  • Vivado综合属性系列之四 ROM_STYLE

    目录 一、前言 二、ROM_STYLE         ROM英文全称为Read Only Memory,只读存储器,里面主要存放固定的数据。         ROM的使用方式与RAM类似,格式样例为:(* rom_style=\\\"{distributed | block}\\\" *),但可设置的值只有distributed和block,同时也支持在约束文件XDC使用set_property设置。 2.

    2024年02月05日
    浏览(28)
  • 如何使用Synplify综合vivado带IP核的工程

    下面的说法来自网上: 综合时间更好,综合出来所使用的逻辑更少 综合的时序更好 在IC设计中,使用Synplify综合+Vivado组合比较多。synplify 用于生成网表,然后将网表倒入FPGA中,进行布局布线等操作。 Libero集成开发环境中Synplify应用与提高-Microsemi(Actel) FPGA开发工具——周

    2024年02月11日
    浏览(38)
  • VIVADO报错:[opt31-67]之MIG ip核综合失败

    博客1:添加IP核的方法错误 博客2: 模块例化时有输入端口未连接 平时我们我们正常生成ip核的操是如下的,这下的结果就会导致最终报错。报错结果如下 [Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I0,… The LUT cell nameis:u_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_

    2024年02月13日
    浏览(39)
  • vivado 在线逻辑分析仪 ila 无法打开的原因

    报错信息: 报错原因: 没有时钟触发信号。可能由于时钟触发信号为 有产生条件的,导致此时没有时钟信号,从而无法正常运行ILA进行信号抓取。 尤其是在使用了PLL产生的时钟时,有可能复位信号出错,导致PLL的输出时钟不存在。 解决方式 更换时钟信号,或者检查时钟信

    2024年02月11日
    浏览(35)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包