Vivado(vitis) 新旧版本共存,更改默认打开工程版本

这篇具有很好参考价值的文章主要介绍了Vivado(vitis) 新旧版本共存,更改默认打开工程版本。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Vivado(vitis) 新旧版本共存,更改默认打开工程版本

有时候经常会安装多个版本的xilinx 程序在电脑上来证明你的电脑硬盘真大,好大!
但是太大也有坏处,就是你发现你每次双击工程文件,都会是默认老版本(或者新版本打开),并不是你要的姿势

怎么办呢!

修改**注册表**

Computer\HKEY_CLASSES_ROOT\Vivado.Project.1\Shell\Open\Command
vivado低版本打开高版本工程,fpga开发文章来源地址https://www.toymoban.com/news/detail-516944.html

更改成你所需要的姿势版本就可以了。再也不用担心每次打开老版本了。需要的时候再临幸吧

到了这里,关于Vivado(vitis) 新旧版本共存,更改默认打开工程版本的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Vivado打开的工程文件的中文注释出现乱码解决方案

    有同学在使用vivado打开一些工程的时候,发现其中注释有乱码,现象如下图: 原因分析: 有的工程是从其他编码软件中移植而得,而工程V文件源码的代码部分在代码编辑软件中是可以通用的,由于注释部分含有中文,两款EDA软件对中文的字符编码格式不同,在工程移植到

    2024年02月11日
    浏览(30)
  • Vitis开发(一):Vivado启动vitis

    Vitis是Xilinx SDK的继承开发工具,从Vivado 2019.2版本开始启用 在Vivado 2019.1及更早版本中,导出的硬件描述文件为.hdf文件,给xilinx sdk使用 在Vivado 2019.2及后续版本中,导出的硬件描述文件为.xsa文件,给vitis平台使用 若下载的是Vivado 2019.2及后续版本中,硬件描述文件不再通过SDK启

    2024年02月16日
    浏览(28)
  • vivado2021版本之后System Generator工具如何打开?

    从xilinx官网可以得知,2021以及之后的版本,System Generator(以下简称sysgen)工具将不再单独提供,而是集成于Vitis Model Composer的HDL模块,同HLS以及最新的AI Engines一同提供,简单言之block成了这样的: 上述信息可在某官方文档中查阅 但是在vivado安装后,一些朋友的Vitis Model Com

    2023年04月08日
    浏览(63)
  • 【FPGA】解决vivado工程版本兼容问题

    个人笔记。 vivado不同版本之间有可能并不兼容,需要更改一些配置即可。我现在用的是vivado2017.4版本,现在想打开vivado2018.3版本的工程,但会出现警告,主要是版本不兼容导致。 可以按以下步骤来解决。 1、用一个文本编辑器打开我们的工程项目.xpr,修改version和minor 看到

    2024年02月03日
    浏览(27)
  • Quartus II Altera FPGA设置默认打开工程文件路径

    刚用Quartus II没多久,每次打开工程,Quartus II都是打开Quartus II默认打开工程文件路径,不是自已存放工程的文件路径,网上搜设置方法,教程很少,现在把我找到的方法分享给大家。 1:打开软件,在软件菜单栏选择“Tools”,如下图所示: 2:展开“Tools”菜单栏,选择“optio

    2024年02月07日
    浏览(44)
  • Vitis HLS 构建项目并生成IP核(Vivado HLS)

    Vitis HLS,可以通过它,用C和C++建立和封装一个IP核,从Vivado 2021的版本开始内置,用于替代Vivado HLS,由于它太新了,网上有关教程很少(2020的版本还是Vivado HLS),所以这个系列的文章,用于记录如何使用Vitis HLS。 使用Vitis HLS开发时,最好不要再使用C语言,而是C++,同时,使

    2024年01月21日
    浏览(33)
  • Xilinx系列软件安装技巧与注意事项(vivado vitis)+vscode

    注意事项 系统适用版本 要安装在Ubuntu系统的话,要注意 提前看好软件适用的版本 ,不要随便安好了Ubuntu系统又发现对应版本的xilinx软件不支持。 如下图,发行说明中会说明这个版本的软件所适配的系统版本。 下载 vivado vitis这些都可以用 FDM downloader 下载整个大的几十G的包

    2024年02月03日
    浏览(38)
  • vivado2021.2版本中工程另存为后的问题HDL Wrapper 不自动更新

    vivado2021.2版本 用vivado将原来的工程另存为后,在block design中添加ip核并导出新的端口,generate output products后在新生成的顶层verilog文件中找不到新的端口,也就是HDL Wrapper 不自动更新。但新建工程,将全部过程在新的工程中完成后,顶层文件可以正常更新,但过于繁琐。下图中

    2024年02月09日
    浏览(27)
  • vitis打开自带的串口调试

    设置端口号波特率停止位等 ok

    2024年02月16日
    浏览(27)
  • mac安装cocoapods(新旧版本系统)

    CocoaPods :是 Swift 和 Objective-C Cocoa 项目的依赖管理器。它拥有超过 93,000 个库,用于超过 300 万个应用程序。CocoaPods 可以帮助您优雅地扩展项目。 Ruby :一种简单快捷的面向对象(面向对象程序设计)脚本语言,macOS默认安装了Ruby,但是版本不是最新的,CocoaPods基于Ruby语言编

    2024年02月05日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包