【Tools】Verdi常用方法

这篇具有很好参考价值的文章主要介绍了【Tools】Verdi常用方法。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、简介

        verdi是一个目前流行的ICer使用的debug工具,主要用来查看代码以及波形以方便工程师解决问题。verdi的基本界面如下图所示,包括rtl界面以及波形界面。

verdi打开fsdb文件,Tools,硬件工程

 二、基本操作

        使用verdi打开设计以及波形的几种方法:

        方法一:        

        1.open source file : verdi -sv -f <filelist.f> &

        2.Use the File->Open Waveform File cpmmand to load the fsdb

        方法二:

        当VCS编译时使用-kdb选项时,vcs仿真会生成verdi的库文件,可以使用如下方式打开:

        verdi -elab kdb

        verdi -dbdir simv.daidir &

        或者直接打开design和fsdb

        verdi -ssf <fsdb> &文章来源地址https://www.toymoban.com/news/detail-518813.html

到了这里,关于【Tools】Verdi常用方法的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • verdi查看二维数组波形正确操作

    在编写verilog设计电路时,需要通过查看波形来进行调试,而在数字IC设计中常用的波形查看工具就是Verdi。 使用makefile脚本语言打开verdi后,常规操作是将鼠标点击要查看的信号,再使用ctrl+w即可添加该信号波形。但如果想要查看二维数组信号波形,则会出现如下所示的问题。

    2024年02月11日
    浏览(29)
  • [VCS、verdi、makefile] 联合仿真 ——步骤

    目录 1、vcs、verdi简介和安装 2、Verdi的配置 3、vcs与Verdi联合仿真的Makefile脚本 1、基于Verilog系统函数Makefile脚本 1.1 先在tb文件中添加代码段,仿真后可以产生.fsdb文件  1.2 Makefile写法 2、基于ucli/tcl接口Makefile脚本 4、Verdi的使用       建议去淘宝买别人别人装好的环境,自己整

    2024年02月01日
    浏览(29)
  • linux环境下vcs+verdi的使用

    今日感想:学习只能慢慢搭积木,想一步登天可不行啊 在vcs仿真时调用$dump函数dump出fsdb文件,随后verdi load filelist和fsdb文件来进行debug 1、 vcs两步仿真:先编译文件,生成simv可执行文件;后进行仿真 (compilation and simulation) VCS:Verilog Compiler Simulator 问题一:当设计比较大的

    2024年02月11日
    浏览(33)
  • Linux下VCS&Verdi入门级联合仿真教程

    前言 如果没有安装VCS和Verdi,可以先移步到VCS Veidi 下载与安装教程 一站式虚拟机套餐,感谢这位博主大大的分享,极大的减轻了我工具安装带来的烦恼。 VCSVerdi介绍 博主在上一篇博文《ASIC设计流程及对应EDA工具简介》中介绍了ASIC设计流程中常用的EDA工具。大家使用过的V

    2024年02月15日
    浏览(47)
  • 【VCS+Verdi联合仿真】~ 以计数器为例

    首先,先声明一下,我写这篇博客的时候我就是一个纯小白,实不相瞒,刚刚学了一天,哈哈哈,没错,你没看错,就是一天!!!主要是因为前天因为刷题和找工作的需要,需要熟悉VCS和Verdi的联合仿真(据说是很好用,随大流呗!!!),所以才会有接下来的一些相关博

    2023年04月12日
    浏览(26)
  • VCS与Verdi联仿,简要万能工程模板,持续更新中...

    一、背景 学习verilog,故用vcs来编译verilog,用verdi来查看波形。 提供一套简要verilog工程模板去执行教程中代码,并分析波形。 二、编写工程模块 建立工程在temp文件夹下新建文件夹/rtl、/sim、/tb,如下: 在/rtl文件夹下新建两个.v文件: template.v和timescale.v ,为模板rtl代码。

    2024年02月12日
    浏览(32)
  • 新手教程03:通过makefile脚本使用VCS+Verdi进行联合仿真

    目录 前言 makefile脚本的方式使用VCS 1. 自动生成 .fsdb 文件 2. 生成 filelist.f 文件 3. 书写 makefile 脚本 4. termial 中运行仿真 5. verdi 的快捷操作 总结 零基础初学数字IC,学会什么写什么,与大家一起进步。 本文主要介绍如何通过makefile脚本的方式用VCS+Verdi进行联合仿真。 因为要使

    2024年02月13日
    浏览(30)
  • 同步和异步、同步复位、异步复位、同步释放(Verilog、Verdi、DC综合)

    数字电路根据逻辑功能的不同特点,可以分成两大类:一类叫做组合逻辑电路,简称组合电路或组合逻辑;另一类叫做时序逻辑电路,简称时序电路或时序逻辑。 如果数字电路满足任意时刻的输出仅仅取决于该时刻的输入,那么该数字电路为组合逻辑电路。 相反,如果数字

    2024年02月11日
    浏览(33)
  • linux VCS+verdi运行UVM实战(第二章)中的例子

    目录 前言 介绍 建立工程 运行代码 查看波形 总结 前言 用VCS+verdi运行了下UVM实战中的例子(第二章)。 在某宝上花了几十块,买了个虚拟机(已经安装好VCS+verdi)。直接用UVM实战中,现成的uvm代码跑了下。 UVM实战源码下载地址:UVM实战源码下载 书中DUT的功能:通过rxd接收

    2023年04月08日
    浏览(36)
  • 【前端设计】使用Verdi查看波形时鼠标遮住了parameter值怎么整

    盆友,你们在使用Verdi的时候,有没有遇到过鼠标遮挡着了parameter数值的场景?就跟下面这个示意图一样: 最可恨的是这个参数值他会跟着你的鼠标走,你想把鼠标移开看看看这个例化值到底是多大吧,这个数他跟着你的鼠标飞,就很气。 所以这个时候需要点击Verdi - Source

    2024年02月16日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包