FPGA-Quartus II 13.1画逻辑门电路图的详细步骤

这篇具有很好参考价值的文章主要介绍了FPGA-Quartus II 13.1画逻辑门电路图的详细步骤。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

        作为学习FPGA的小白一枚,总是在看论文的时候发现大牛们的内容中包含逻辑设计图也就是门电路的截图,而我自己在学习的时候看正点原子或者野火视频的时候没有发现这一部分(也有可能有,但我没全部看完系列视频,所以也就没发现),就上网搜索了这一部分内容,打算写篇小内容记录下来,主要是担心自己遗忘,有需要的同学也可以看看我这篇内容哦~

        首先,根据我要做的东西,在老师的论文中找到了需要设计的门电路图。

quartus怎么画电路图,fpga开发

 接下来是对在Quartus II 13.1软件内的操作步骤进行记录。

1.在新建完工程之后点击File->new->Design Files->Block Diagram/Schematic File

quartus怎么画电路图,fpga开发

 2.进入以下界面。

quartus怎么画电路图,fpga开发

3.点击左上角Symbol Tool,点击primitives->logic->and2,这里我是以与门进行举例,需要什么器件就选择什么,选定之后点击OK,然后点击鼠标放置到界面内就可以了。

quartus怎么画电路图,fpga开发

         友情提示:Orthogonal Node Tool导线,引脚名称可通过双击进行修改。

        最终我的逻辑设计图就搭建完成了~

quartus怎么画电路图,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-522714.html

 

到了这里,关于FPGA-Quartus II 13.1画逻辑门电路图的详细步骤的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • 关于Quartus II 13.0破解失败问题

    问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll。 显示问题:该文件正在使用。。。。 (注意不是某些人遇到的未找到sys_cpt.dll未被找到) 请在检查确保破解器正确安装在对应的bin/bin64目录下无效后再寻找其

    2023年04月09日
    浏览(33)
  • FPGA NCOip核的使用及仿真(quartusii 13.1+modelsimse 10.5)

    介绍了13.1版本的quartus中的NCOip核的破解、使用和仿真功能 文章目录 前言 一、 quartus ip核 二 、 nco ip核的配置 1  ip核的配置 2. 代码编写 3.联合仿真 总结 本文主要还介绍了13.1版本的quartus中的NCOip核的破解、使用仿真功能。适合正点原子开拓者等相同芯片系列产品的参考。 提

    2024年01月20日
    浏览(26)
  • 基于Quartus II的fpga设计流程

    本文仅用于记录与学习。参考 串口(UART)的FPGA实现(含源码工程) 逻辑综合(logic synthesis)入门指南 quartusII关于时钟约束 FPGA内部硬件结构简介 如有侵权,联系删除 指用Verilog或VHDL语言实现的一个单元模块。在这个单元模块中,通过实例化将待验证设计(DUV)作为一个子模块

    2024年02月05日
    浏览(39)
  • 使用Quartus II做FPGA设计遭遇的一些问题

    问题1:Error:Width mismatch in pin_name – source is 。。。 ** 问题2:Error (275028): Bus name allowed only on bus line – pin “data[7…0]” Error (275029): Incorrect connector style at port “test_data[7…0]” for symbol “inst” of type top

    2024年02月11日
    浏览(42)
  • FPGA开发(基于Quartus II)万年历,简单代码

       设计一个基于FPGA的电子万年历。设计的主要任务是在Quartus II开发环境中完成电子万年历系统FPGA内部各电路模块的设计,包括各个模块的设计输入、编译、仿真、验证和硬件测试任务。具体要求如下: 能实现2 4 小时、6 0 分、6 0 秒的基本计时功能,格式为0 8 - 56 - 36 :时

    2024年02月04日
    浏览(29)
  • Quartus II Altera FPGA设置默认打开工程文件路径

    刚用Quartus II没多久,每次打开工程,Quartus II都是打开Quartus II默认打开工程文件路径,不是自已存放工程的文件路径,网上搜设置方法,教程很少,现在把我找到的方法分享给大家。 1:打开软件,在软件菜单栏选择“Tools”,如下图所示: 2:展开“Tools”菜单栏,选择“optio

    2024年02月07日
    浏览(43)
  • 实验三 基于FPGA的数码管动态扫描电路设计 quartus/数码管/电路模块设计

    源文件的链接放在最后啦 实验目的: (1) 熟悉7段数码管显示译码电路的设计。 (2) 掌握数码管显示原理及静态、动态扫描电路的设计。 实验任务: (1) 基本任务1:利用FPGA硬件平台上的4位数码管做静态显示,用SW0-3输入BCD码,用SW4-7控制数码管位选; (2) 基本任务

    2024年02月07日
    浏览(42)
  • FPGA之Quartus II 自带的IP核的使用(IP核仅自己学习,未完成)

    1、锁相环:       锁相环是对接收到的信号进行处理,并从其中提取某个时钟的相位信息。锁相环由鉴相器、环路滤波器和压控振荡器组成。  锁相环原理图 鉴相器:         用来鉴别输入信号输入信号Ui与输出信号输出信号Uo之间的相位差相位差,并输出误差电压Ud。Ud

    2023年04月09日
    浏览(30)
  • FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus II软件的安装 文章:【正点原子FPGA连载】第四章Quartus II软件的安装和使用 -摘自【正点原子】新起点之FPGA开发指南_V2.1 资源:FPGA新起点V1开发板 在工具盘里面 破戒:链接 最后当然是成功啦 首先

    2024年02月12日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包