LVDS信号

这篇具有很好参考价值的文章主要介绍了LVDS信号。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

LVDS 速率

理想LVDS 速率计算

实际LVDS 速率计算

LVDS TX信号的电参数

全负载测试(full load test measurements)

短路测试

对地或者(共模电压短路测试)

 对内短路测试

 输出信号波形

动态偏置电压测试

LVDS RX 测试

 无内置端接电阻的RX测试

接收输入电流VS电压测试

接收输入平衡测试

 内置端接电阻的RX测试

输入电流VS电压和输入阻抗测试

接收灵敏度测试


LVDS 速率

        LVDS其实没有定义传输速率,传输速率主要有TX和RX之间的传输媒介,RX对信号质量的要求,TX到RX传输延时等因素决定。理论上LVDS可以传输1.923Gbps的速率。

理想LVDS 速率计算

        LVDS  信号上升或者下降时间的要求是小于0.5 UI, 规范中要求TX发生的信号的上升和下降时间<260ps,最坏情况那就260ps。 所以,计算规范中定义的最传快输速率的是1/(tr+tf)=1/560ps=1.923Gbps。如果TX端的上升和下降时间越短,传输速率也当然会越高。

实际LVDS 速率计算

        实际上传输链路一定会有损耗,比如一根5米的cable,引入500ps的上升和下降沿损失,那么按照协议的要求RX端信号的上升和下降时间最少是500+260ps=760ps。按照传输速率的计算方法1/(tr+tf)=1/(760+760)=657Mbps。

        实际应用中,IO的设计人员可以根据实际传输速率设计TX端,比如应用只需要100Mbps的传输速率,那么TX端设计信号的上升和下降时间可以在信号UI 5%~30%,也就是500ps~3ns,经过链路后只要信号的上升和下降时间<5ns 就可以。毕竟越高速的设计成本和难度都越大。

        

LVDS TX信号的电参数

LVDS的IO电路结构如下:

lvds波形,硬件工程

LVDS 输出输入连接

lvds波形,硬件工程

 LVDS输出级

lvds波形,硬件工程

 LVDS输入级

LVDS输出级是电流型的,输入级是MOS管的Gate级,因此要产生电压需要在接收端进行端接。规规范要求用100ohm的电阻进行端接,流过100ohm电阻的典型电流是3.5mA,因此电阻两端的典型电压是350mV。

lvds波形,硬件工程

规范中定义电压范围是

(0)+250mV~+450mV  或者(1)-250mV~-450mV 。 

        lvds波形,硬件工程

全负载测试(full load test measurements)

测试电路如下:

lvds波形,硬件工程
标题全负载测试电路
  1. 端接100ohm电阻。
  2. 两个3.74Kohm的电阻提供直流偏置Vtest。
  3. 测试过程输出固定0 或者 1
  4. 调节Vtest  从0到2.4V
  5. 确认A/B两端的电压是否符合以下要求。
lvds波形,硬件工程
标题全负载测试规格

偏置电压和平衡测试(Offset voltage and balance measurements)

测试电路如下:

lvds波形,硬件工程
标偏置电压和平衡测试电路
  1. 两个49.9ohm电阻最输出进行端接
  2. TX输出固定0 或者 1
  3. 测试两个电阻中间的电压,并确认是否符合以下要求。
lvds波形,硬件工程
标偏置电压和平衡测试规格

短路测试

对地或者(共模电压短路测试)

测试电路如下:

lvds波形,硬件工程
对地或者对共模短路测试电路
  1.  TX输出的正和负端分别对GND或者共模短路。
  2. 测试电流是否操作规格要求。
lvds波形,硬件工程
对GND或者共模短路测试规格

 对内短路测试

测试电路如下:

lvds波形,硬件工程
差分对内短路测试电路
  1.  TX输出的正和负端直接短路。
  2. 测试电流是否操作规格要求。
lvds波形,硬件工程
差分对内短路测试规格

 输出信号波形

测试电路如下:

lvds波形,硬件工程

  1. RL 要求99.8 ohm ±1 % 
  2. TX输出要求1010...翻转
  3. 确认波形的上下冲是否超过+/-20Vss 的要求。注意: Vss=2*Vt
  4. 确认波形的上升下降时间是否超过要求。注意上升和下降时间20%~80%的幅度。
  5. 确认波形的上升下降波形是否单调
lvds波形,硬件工程
输出信号过冲测试规格
lvds波形,硬件工程
输出信号上升和下降时间测试规格

动态偏置电压测试

测试电路如下:

lvds波形,硬件工程
动态偏置电压测试电路
  1.  通过两个49.9ohm的电阻进行端接
  2. TX输出要求1010...翻转
  3. 示波器要求带宽5Ghz以上,探头输入阻抗>1Kohm,寄生电容<1pF
  4. 测试两个49.9ohm电阻中间的电压在输出0 或1时候的电压值
  5. 确认电压的波动是否符合以下要求Vpp<150mV。
lvds波形,硬件工程
动态偏置电压测试

LVDS RX 测试

 无内置端接电阻的RX测试

接收输入电流VS电压测试

测试电路如下:

lvds波形,硬件工程
接收输入电流VS电压测试电路
  1.  Via 从0~2.4V变化,Vib保持1.2V+/-50mV, 测试Iia电流是否超过20uA 
  2.  Vib 从0~2.4V变化,Via保持1.2V+/-50mV, 测试Iib电流是否超过20uA
  3. 在RX 上电和断点的情况下分别进行测试

接收输入平衡测试

测试电路如下:

lvds波形,硬件工程
接收输入平衡测试
  1. Vtest 从0V 到2.4V变化
  2. IA-IB的绝对值要求小于6uA

 内置端接电阻的RX测试

输入电流VS电压和输入阻抗测试

测试电路如下:

lvds波形,硬件工程
输入电流VS电压和输入阻抗测试

测试规格和电路中开关位置如下

lvds波形,硬件工程

阻抗要求90ohm~132ohm

接收灵敏度测试

 测试电路和要求如下:

lvds波形,硬件工程

  1. 在输入共模电压0.05V~2.35V的范围内,RX 要能接收100mV~600mV范围内信号并正常工作。
  2. 单端输入电压范围0~2.4V 不能最电路造成损害

测试表格如下:

lvds波形,硬件工程文章来源地址https://www.toymoban.com/news/detail-524572.html

到了这里,关于LVDS信号的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA与LVDS:数字信号处理的完美组合

    FPGA与LVDS:数字信号处理的完美组合 FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,具有高度灵活性和可重构性,可实现各种数字逻辑和处理功能。而LVDS(Low-Voltage Differential Signaling)则是一种用于高速数据传输的技术,特点是传输距离长、抗干扰能力强、带宽大。

    2024年01月23日
    浏览(40)
  • 详解信号逻辑电平标准: CMOS、TTL、LVCMOS、LVTTL、ECL、PECL、LVPECL、LVDS、CML

    Refer: (285条消息) FPGA几种电平:TTL,CMOS以及LVTTL,LVCMOS_Love coldplay的博客-CSDN博客 常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL等。 供电电源、电平标准以及使用注意事项 Vcc:5V;VOH=2.4V;VOL 因为2.

    2024年02月09日
    浏览(41)
  • FPGA实现LVDS视频输出,纯verilog代码驱动,提供2套工程源码和技术支持

    FPGA实现LVDS视频输出,纯verilog代码驱动,提供2套工程源码和技术支持 LVDS协议作为中等速率的差分信号,在笔记本电脑和手机等消费电子领域应用广泛,FPGA实现LVDS视频协议也有广泛应用,一般在军工和医疗领域,LVDS视频相比RGB并行视频传输而言,图像质量和IO数量都有优势

    2024年02月04日
    浏览(48)
  • FPGA实现HDMI转LVDS视频输出,纯verilog代码驱动,提供4套工程源码和技术支持

    FPGA实现HDMI转LVDS视频输出,纯verilog代码驱动,提供工程源码和技术支持 LVDS协议作为中等速率的差分信号,在笔记本电脑和手机等消费电子领域应用广泛,FPGA实现LVDS视频协议也有广泛应用,一般在军工和医疗领域,LVDS视频相比RGB并行视频传输而言,图像质量和IO数量都有优

    2024年03月20日
    浏览(34)
  • LVDS接口和LVDS技术

    目前6.5寸及以上尺寸的液晶屏大多都是LVDS接口的了,而LVDS接口的屏主要是应用于工控行业。 如下图就是LVDS接口的使用场景: 下图所示为五通道LVDS发送芯片(DS90C385)内部框图。包含了四个数据信号(其中包括RGB、数据使能DE、行同步信号HS、场同步信号VS)通道和一个时钟信号

    2024年02月08日
    浏览(27)
  • 【AD9361 数字接口CMOS &LVDS&SPI】C 并行数据 LVDS

    接上一部分,AD9361 数字接口CMOS LVDSSPI LVDS MODE DATA PATH AND CLOCK SIGNALS 以下介绍AD9361数据路径在低压差分信号(LVDS)模式(ANSI-644)下的工作情况。AD9361数据通路接口使用并行数据总线(P0和P1)在AD9361和BBP之间传输采样数据。总线传输使用简单的硬件握手信号进行控制。在LVDS模

    2024年02月07日
    浏览(40)
  • FPGA 高速接口(LVDS)

    LVDS(Low Voltage Differential Signalin)是一种 低振幅差分信号技术 。它使用幅度非常低的信号(约350mV)通过一对差分PCB走线或平衡电缆传输数据。大部分高速数据传输中,都会用到LVDS传输。 目前FPGA开发板资料中涉及LVDS通信的方案并不多,但是LVDS实际上有大量的应用,特别是在

    2024年02月19日
    浏览(32)
  • LVDS电平分析

    LVDS电平是根据ANSI/EIA/TIA-644定义的一种电平标准,其标准定义的相关参数如下: 图1 LVDS电平标准 标准参数的制定一方面取决于器件的制造工艺水平,另一方面取决于该标准面向的应用场景的性能要求。这意味着在不同的参数里,思考参数的取值的方法可能大相径庭。 1. LVDS电

    2024年02月15日
    浏览(34)
  • rk3568点亮LCD(lvds)

    LVDS(Low Voltage Differential Signal)即低电压差分信号。1994年由美国国家半导体(NS)公司为克服以TTL电平方式传输宽带高码率数据时功耗大、电磁干扰大等缺点而研制的一种数字视频信号传输方式。它是一种电平标准,广泛应用于液晶屏接口。 其中发送端是一个3.5mA的电流源,

    2024年02月06日
    浏览(35)
  • XILINX FPGA lvds 解串方案

    一 概述: 7 Series ISERDESE2 and OSERDESE2         ISERDESE2 : input serial-to-parallel converters ;          OSERDESE2 : output parallel-to-serial converters ;           在 xilinx 7 系列 FPGA 中 ISERDESE2 和 OSERDESE2 支持非常高的 I/O 数据速率,对于 ISERDESE2 存在 bitslip 信号来重新对齐串行

    2024年02月07日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包