时序违例在FPGA开发流程的分析及解决,结合实际工程

这篇具有很好参考价值的文章主要介绍了时序违例在FPGA开发流程的分析及解决,结合实际工程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一.概述

时序违例的解决可以分为几个阶段,布局前,布线前,布线后。我们从这几个阶段对时序违例进行分析以及提出解决方法。(参考ug1292和ug949)。时序违例包括建立时间违例和保持时间为例,主要关注建立时间违例,保持时间违例是由于组合逻辑太短和时钟偏移造成的,可以通过布线时绕线以及BUFG解决。但是布线时过多的绕线会影响布线时对拥塞的解决程度。可以通过在布线前插入LUT(布局后使用phys_opt_design -hold_fix ),使关键路径的保持时间符合要求,减少布线时的绕线,而专注解决拥塞。

二.分析

2.1布局前

布局前的时序分析可以打开综合后的dcp或者opt以后的dcp文件,然后控制台输入report_time_summary,即可看到列出了时序路径,该阶段时序报告反映的是以下情况下的设计性能:假定每条逻辑路径均达到最理想逻辑布局 。如果出现不符合的路径,则关注扇出和资源利用率,进行设计的初步检查:

  1. 是否有太多阻碍逻辑优化的综合属性(mark_debug,keep,dont_touch)

  1. 是否扇出过大(report_high_fanout_nets)

  1. 关键路径的RTL代码设计是否合理

  1. 资源利用率是否符合要求(块 RAM 利用率较高,而 LUT/FF 利用率较低,那么 设计仍可能出现布局问题 ,对于块 RAM 利用率达 70% 的设计,如果在 SLR 间未平衡块 RAM 资源并且其中 1 个 SLR 的块 RAM 利用率超过 85% ,也可能出现时序问题)

  1. 时序约束是否合理。

  1. 逻辑级数和延时是否太高(通常要求:逻辑级数<时钟周期(ns)* 2)

解决方法:

对于a,去除没有必要的综合属性。

对于b,可以使用max_fanout综合属性进行约束,或者手动复制寄存器,对于复位的高扇出,可以使用BUFG,以及少用复位,多用reg赋初值。

对于c:

1.用LUT实现大位宽数据存储,毕竟每个LUT只提供1bit输出,256的位宽意味着至少需要256个LUT,而这些LUT必然分布在不同列的SLICEM中,无论对布线还是时序都会带来负面影响。

博主在做双令牌桶算法时,就用LUT存储128位的数据,深度为32或64。需用RAM对此算法进行优化。

2.这一点,这个博主写得很不错。

(1)应该尽量保证每一个always块的判断条件简洁(判断条件中尽量只进行逻辑判断,尽量避免逻辑运算)

(2)应该尽量保证每一个always块的判断条件中的每一个变量都是直接来源于某个always块输出信号,尽量避免将组合逻辑的输出直接作为某个always块的判断条件的一部分,这样就可以保证每一个always块的输入信号的延时比较固定,有利于时序收敛。

(3)应该尽量保证每一个always块的赋值语句中的被赋值变量都是直接来源于某个always块输出信号,尽量避免将组合逻辑的输出直接作为某个always块的赋值语句中的一部分,这样就可以保证每一个always块的输入信号的延时比较固定,有利于时序收敛。

原文链接:https://blog.csdn.net/gemengxia/article/details/108442927

对于d:做一些资源优化

1.大ram分成小ram加小ram

2.分布式ram配置到集中式ram配置

3.LUT转RAM

4.平衡SRL间利用率

对于e:

1.设置伪路径

2.设置异步时钟组

3.设置多周期路径

对于f:

通常使用流水寄存器,重定时,操作数平衡,case代替if..else(vivado中if else会多用LUT),关键信号后移,opt使用-remap(lut逻辑优化)等方式进行逻辑级数和延时的减少。

2.2布线前

布线前的时序分析可以打开布局后的dcp,然后控制台输入report_time_summary,即可看到列出了时序路径,该阶段时序报告反映的是假定每条单独信号线均达到最理想布线延迟, 不考虑保持时间修复的造成的影响(信号线布线绕行)或拥塞 。

  1. 器件或 SLR 利用率 过高。

  1. 复杂逻辑导致的布局拥塞。

  1. 时钟间的时钟偏差或时钟不确定性过高 。

解决方法:

对于a,如果资源总体利用率大于 70-80%,通过移除部分设计功能,或者将部分模块或内核迁移到另一个 SLR,可降低器件或 SLR 利用 率 。

对于b,逻辑是否可以优化

在 Explore 模式或 AggressiveExplore 模式下运行 phys_opt_design,尝试提升执行 place_design 后的 QoR 。

2.3布线后

打开布线后的dcp,布线 后的严重的建立时间 (WNS) 或保持时间 (WHS) 违例是由拥塞过高导致的结果 。

解决方法:

(1)可以通过在布线前插入LUT(布局后使用phys_opt_design -hold_fix),使关键路径的保持时间符合要求,减少布线时的绕线,而专注解决拥塞。

(2)如果资源总体利用率大于 70-80%,通过移除部分设计功能,或者将部分模块或内核迁移到另一个 SLR,可降低器件或 SLR 利用 率。

(3)尝试使用不同的实现策略。

(4)执行 route_design 后,尝试运行 phys_opt_design 。

2.4vivado 时序表分析

打开每个阶段的timing,都能看到关键路径的时序违例情况,还可以看到每条路径的属性。

fpga 时序违例,Xilinx,fpga开发,硬件工程,Powered by 金山文档

几个关键参数:

  1. 逻辑延迟,对于xilinx 7系列fpga,不超过25%

  1. 线延迟,对于xilinx 7系列fpga,不超过75%

  1. 时钟偏移,应大于-0.5(如果此值大,对于修复建立时间违例有利)

  1. 时钟不确定性,应小于0.1

  1. 逻辑级数 logic levels

解决方法:

对于a:逻辑延迟的减少可以由布线前的a解决方法解决。

对于b:线延迟需要看是否受到拥塞影响,report_design_analysis -congestion -name wx可以得到拥塞分布图,东西南北4个方向小于5就正常,布线后的拥塞还分为全局,长,短拥塞,可以通过看关键路径是否通过拥塞区域进行判断违例是否受到拥塞影响,下图中不影响,而且拥塞等级低。

fpga 时序违例,Xilinx,fpga开发,硬件工程,Powered by 金山文档

布局后拥塞

fpga 时序违例,Xilinx,fpga开发,硬件工程,Powered by 金山文档

布线后拥塞

如果没有受到影响,需要减少控制集,例如

(1)去掉复位/使能/置位的max_fanout属性,或者去掉不该用的复位

(2)使用opt_design –control_set_merge or –merge_equivalent_drivers 合并复制的控制信号

如果受到影响,需要:

(1)检查关键信号是否扇出>10 ,减少扇出。

对于c:

(1)选择时序路径,启用“Clock Path Visualization”工具栏按钮 ,打开原理图 (F4) 以复查时钟逻辑,如果是级联缓冲器,那么使用并行缓冲器代替级联缓冲器 ,在时钟路径中移除 LUT 或任何组合逻辑 ,缓冲器使用的合理性,在时序报告中也有体现。

(2)使用 CLOCK_DELAY_GROUP 。

fpga 时序违例,Xilinx,fpga开发,硬件工程,Powered by 金山文档

(3)在异步时钟间添加时钟组 。

对于d:

MMCM或者PLL选择最小输出抖动。

对于e:

参考2.1中的f解决方法。

2.5report_qor_suggestions

fpga 时序违例,Xilinx,fpga开发,硬件工程,Powered by 金山文档

通过report_qor_suggestions可以在分析关键路径的基础上给出优化建议,以xdc或tcl文件的形式给出来。上图是report_qor_suggestions给出的结果,该路径的时钟偏移太大,发现是由于异步时钟组没有约束的原因。

小结:

本文介绍了VIVADO各个阶段所要注意的影响时序的因素,并根据时序报告的几个重要参数给出相应解决方法。文章来源地址https://www.toymoban.com/news/detail-528591.html

到了这里,关于时序违例在FPGA开发流程的分析及解决,结合实际工程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA】基于vivado FPGA设计过程中时序报红的分析及解决办法

    本文基于vivado的FPGA,对 时序报红 问题分析方法进行说明,并提供常见问题的解决办法。 (1)前提 先将工程进行综合和布局布线。 (2)方法1 IMPLEMENTION - Report Timing Summary 参数设置: Maximum number of paths per clock or path group:每个时钟路径或者时钟组显示的最大路径数,想要查

    2024年02月05日
    浏览(32)
  • 【数字IC基础】时序违例的修复

    基本思路是减少数据线的延时、减少 Launch clock line 的延时、增加capture clock line的delay 加强约束,重新进行综合 ,对违规的路径进行进一步的优化,但是一般效果可能不是很明显降低时钟的频率,但是这个一般是在项目最初的时候决定的,这个时候很难再改变 拆分组合逻辑,

    2024年02月14日
    浏览(33)
  • FPGA-结合协议时序实现UART收发器(一):UART协议、架构规划、框图

    记录FPGA的UART学习笔记,以及一些细节处理,主要参考奇哥fpga学习资料。 本次UART主要采用计数器方法实现,实现uart的稳定性发送和接收功能,最后实现串口数据回环进行功能测试。 UART协议如图。 包含:空闲位、起始位、数据位、校验位、停止位、空闲位(一般没有) 对于

    2024年02月08日
    浏览(51)
  • FPGA时序分析与约束(2)——时序电路时序

            在之前的内容中,我们介绍了组合电路的时序问题和可能导致的毛刺,强烈推荐在阅读前文的基础上再继续阅读本文, 前文链接:FPGA时序分析与约束(1)——组合电路时序         这篇文章中,我们将继续介绍FPGA时序分析相关内容,本文介绍的是时序电路的时序

    2024年02月10日
    浏览(30)
  • FPGA时序分析与时序约束(四)——时序例外约束

    目录 一、时序例外约束 1.1 为什么需要时序例外约束 1.2 时序例外约束分类 二、多周期约束 2.1 多周期约束语法 2.2 同频同相时钟的多周期约束 2.3 同频异相时钟的多周期约束 2.4 慢时钟域到快时钟域的多周期约束 2.5 快时钟域到慢时钟域的多周期约束 三、虚假路径约束 四、最

    2024年01月20日
    浏览(34)
  • FPGA时序分析与约束(5)——时序路径

            在之前的文章中我们分别介绍了组合电路的时序,时序电路的时序和时钟的时序问题,我们也对于时序分析,时序约束和时序收敛几个基本概念进行了区分,在这篇文章中,我们将介绍时序约束相关的最后一部分基本概念,带领大家了解什么是时序路径。       

    2024年02月09日
    浏览(30)
  • FPGA时序分析与时序约束(Vivado)

    后缀L的这个单元中,会生成锁存器 查看布线 定位线路 时间分析,还要考虑数据变化的建立时间与保持时间 经过图上计算可得公式 : Tsu裕量 = (Tskew + 时钟周期 - Tsu) - (Tco + Tdelay) Thd裕量 = Tco + Tdelay - Thd 两个时间都大于0,才能保证系统不产生亚稳态。 建立时间裕量、组

    2024年01月19日
    浏览(29)
  • FPGA时序分析与约束(8)——时序引擎

            要想进行时序分析和约束,我们需要理解时序引擎究竟是如何进行时序分析的,包括时序引擎如何进行建立分析(setup),保持分析(hold),恢复时间分析(recovery)和移除时间分析(removal)。         发起沿(launch edge,源时钟产生数据的有效时钟沿),捕获沿(capture

    2024年02月07日
    浏览(29)
  • FPGA时序分析与约束(1)——组合电路时序

            关于时序分析和约束的学习似乎是学习FPGA的一道分水岭,似乎只有理解了时序约束才能算是真正入门了FPGA,对于FPGA从业者或者未来想要从事FPGA开发的工程师来说,时序约束可以说是一道躲不过去的坎,所以从这篇文章开始,我们来正式进行FPGA时序分析与约束的学

    2024年02月10日
    浏览(29)
  • FPGA时序约束与分析(1) --- 时序约束概述

    本系列参考文献 — FPGA时序与约束分析-吴厚航 FPGA从综合到实现需要的过程如下:synth_design - opt_design - place-design - phys_opt_design - route_design 1、时序约束的理解 2、时序约束的基本路径 3、时序约束的步骤 4、时序约束的主要方法 5、查看相关时序信息 FPGA的设计约束分为物理约束

    2024年02月16日
    浏览(24)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包