VIVADO报错:[opt31-67]之MIG ip核综合失败

这篇具有很好参考价值的文章主要介绍了VIVADO报错:[opt31-67]之MIG ip核综合失败。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

参考内容

博客1:添加IP核的方法错误
博客2: 模块例化时有输入端口未连接

报错原因

平时我们我们正常生成ip核的操是如下的,这下的结果就会导致最终报错。报错结果如下
[Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I0,… The LUT cell nameis:u_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/mc0/rank_mach0/rank_common0/sr_cntrl.sre_request_logic.sre_request_r_i_1.
根据上面参考博客2的方法发现是app_ref_req有问题,但这个信号并没有和顶层的app_ref_req这个信号连接。所以在顶层连不连都没用

app_ref_req官方解释:用于ddr充电刷新的一个信号。MIG ip核会自动刷新ddr的,所以只有关闭了自动刷新这个信号才会有效,至于怎么关我也没找到。
vivado mig ip核打不开,fpga开发

解决办法

操作如下,在添加mig ip最后一步generate output products时改为global
附implemention成功图
vivado mig ip核打不开,fpga开发

2023.6.18更新MIG新遇到的bug

这个bug是vivado2019特有的,
在进行MIG ip设置的时钟,会进入到Memory Options C0…(最上面一行可看到),这个界面中的input clock period 设置的是200Mhz(这是来自我们调用pll生成的时钟),当产生输出后,再次进入到MIG ip设置界面,却发现这点被自动改成400或500Mhz。解决办法,用其它版本的没问题。当然用2019升级老版本的mig也是没有问题的(前提是升级后别去修改mig的设置参数)文章来源地址https://www.toymoban.com/news/detail-535711.html

到了这里,关于VIVADO报错:[opt31-67]之MIG ip核综合失败的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • DDR3 控制器 MIG IP 详解完整版 (native&VIVADO&Verilog)

    DDR系列文章分类地址: (1)DDR3 基础知识分享 (2)DDR3 控制器 MIG IP 详解完整版 (AXI4VivadoVerilog) (3)DDR3 控制器 MIG IP 详解完整版 (nativeVivadoVerilog) (4)基于 DDR3 的串口传图帧缓存系统设计实现 (5)基于 DDR3 的native接口串口局部传图缓存系统设计实现 (6)基于 DDR3 的

    2024年02月13日
    浏览(55)
  • DDR3 控制器 MIG IP 详解完整版 (AXI4&VIVADO&Verilog)

    DDR系列文章分类地址: (1)DDR3 基础知识分享 (2)DDR3 控制器 MIG IP 详解完整版 (AXI4VivadoVerilog) (3)DDR3 控制器 MIG IP 详解完整版 (nativeVivadoVerilog) (4)基于 DDR3 的串口传图帧缓存系统设计实现 (5)基于 DDR3 的native接口串口局部传图缓存系统设计实现 (6)基于 DDR3 的

    2024年02月11日
    浏览(32)
  • Vivado ip核综合失败,且无任何报错

    如果log信息里有TclStackFree: incorrect freePtr. Call out of sequence?可能是因为计算机的名字不能有中文,改一下就好了。反正我的是,刚装的vivado 2021版,测试软件时找了好几天解决办法https://forums.xilinx.com/t5/Synthesis/TclStackFree-incorrect-freePtr-Call-out-of-sequence-in-2016-4/m-p/742698

    2024年02月13日
    浏览(33)
  • 使用VIVADO中的MIG控制DDR3(AXI接口)一——AXI简介

            最近,因为需要,学习了AXI总线协议和DDR3相关的知识,花了很多的时间去找各种相关的资料,深刻明白查资料的不容易,这里将所学到的东西做一个总结,同时给需要的人提供一些便利,有问题的欢迎一起讨论学习、共同进步。          首先来说一下有关AXI总

    2024年02月08日
    浏览(37)
  • Vivado综合报错及解决方法

    在使用Vivado进行程序综合过程中,全编译报错,如下图: [Chipscope 16-302]Could not generate core for dbg_hub.Aborting IP Generate operaion.The current Vivado temporary directory path. ............ 报错原因: 工程文件夹名称太长,缩短工程文件夹名称如下图。   解决方法: 缩短工程文件夹名称,重新编

    2024年02月12日
    浏览(37)
  • DDR原理及MIG IP核使用记录

    资料参考 1、Xilinx FPGA平台DDR3设计保姆式教程(汇总篇)——看这一篇就够了这篇写的很全面详细 2、快速上手Xilinx DDR3 IP核----汇总篇(MIG) 该系列介绍了Xilinx 控制DDR3的IP核----MIG IP核的基本构成和使用方法,在MIG 接口的基础上在外部 封装了FIFO ,使得操作时序更加简单,并

    2024年02月04日
    浏览(24)
  • 如何使用Synplify综合vivado带IP核的工程

    下面的说法来自网上: 综合时间更好,综合出来所使用的逻辑更少 综合的时序更好 在IC设计中,使用Synplify综合+Vivado组合比较多。synplify 用于生成网表,然后将网表倒入FPGA中,进行布局布线等操作。 Libero集成开发环境中Synplify应用与提高-Microsemi(Actel) FPGA开发工具——周

    2024年02月11日
    浏览(34)
  • DDR4 SDRAM (MIG) IP 核用法

    我们只需关注与IP核交互的部分信号即可,IP核与DDR4交互的部分不用关心。下图框出的部分即需要关注的信号。 框起来的信号的详细用法,具体可以参考官方给的 example design,此文章主要总结用法,可能并不详细。 关于写: c0_ddr4_app_wdf_wren= 1 时数据写入DDR, 数据写入成功必须

    2024年02月11日
    浏览(32)
  • FPGA入门 —— DDR3(MIG IP 核) 入门

    DDR 简介 DDR=Double Data Rate双倍速率同步动态随机存储器。严格的说DDR应该叫DDR SDRAM,人们习惯称为DDR,其中,SDRAM 是Synchronous Dynamic Random Access Memory的缩写,即同步动态随机存取存储器。而DDR SDRAM是Double Data Rate SDRAM的缩写,是双倍速率同步动态随机存储器的意思。 SDRAM在一个时

    2024年01月16日
    浏览(41)
  • 【Xilinx FPGA】DDR3 MIG IP 仿真

    Memory Interface Generator (MIG 7 Series)是 Xilinx 为 7 系列器件提供的 Memory 控制器 IP,使用该 IP 可以很方便地进行 DDR3 的读写操作。本文主要记录 Xilinx DDR3 MIG IP 的仿真过程,包括 IP 配置和 DDR3 读写仿真两部分内容。 目录 1 MIG IP 配置 2 DDR3 读写仿真         在 Vivado 开发平台 IP C

    2024年02月09日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包