AD9361+zedboard(ZYNQ7020)的SDK工程(上)

这篇具有很好参考价值的文章主要介绍了AD9361+zedboard(ZYNQ7020)的SDK工程(上)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.准备工具

vivado2018.3
HDL源码:https://wiki.analog.com/resources/fpga/docs/releases
no_os:https://github.com/analogdevicesinc/no-OS
注意:HDL源码下载的版本要与vivado一致,我这里是2018.3
HDL版本选择zedboard ad9361,fpga开发,嵌入式硬件

2.构建vivado工程

2.1编译源文件

解压下载的HDL文件的压缩包
zedboard ad9361,fpga开发,嵌入式硬件
进入该文件夹C:\AD9361\hdl-hdl_2019_r1\projects\fmcomms5\zc702就是上一步解压完的那个文件夹里的路径,我这用的板子是ZEDBOARD,芯片是ZYNQ7020,所以选的是zc702文件夹。
zedboard ad9361,fpga开发,嵌入式硬件
记事本打上一步文件夹中的makefile文件,可以查看依赖环境,这里不要关闭makefile。
zedboard ad9361,fpga开发,嵌入式硬件
进入C:\AD9361\hdl-hdl_2019_r1\library的库文件夹,找到上面makefile的第一项axi_ad9361的库。双击进入axi_ad9361库文件夹,复制路径。
zedboard ad9361,fpga开发,嵌入式硬件
在“开始”里找到“Xilinx design tools”下的“vivado2018.3 Tcl shell”,打开后用Linux命令进入到上一步的axi_ad9361库文件夹中,这里的操作是输入 cd后空格,把上一步复制的路径粘贴一下,记得改斜杠为反斜杠,按enter键。然后输入 source ./axi_ad9361_ip.tcl按enter键后开始进行编译
zedboard ad9361,fpga开发,嵌入式硬件
编译完生成“.xpr”文件,这就是vivado的工程文件。还没完,这只是第一个依赖库的编译,上面makefile中的依赖库都要编译依次,编译步骤就是进入对应的依赖库中,利用source命令编译带有**ip.tcl的文件。
zedboard ad9361,fpga开发,嵌入式硬件
2.2接下来就是利用C:\AD9361\hdl-hdl_2019_r1\projects\fmcomms5\zc702的源码和TCL构建vivado工程了。打开vivado2018,在左下角的 tcl console中输入cd C:\AD9361\hdl-hdl_2019_r1\projects\fmcomms5\zc702
zedboard ad9361,fpga开发,嵌入式硬件
继续输入 source ./system_project.tcl 系统开始编译生成vivado工程文章来源地址https://www.toymoban.com/news/detail-549152.html

到了这里,关于AD9361+zedboard(ZYNQ7020)的SDK工程(上)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA高端项目:Xilinx Zynq7020 系列FPGA纯verilog图像缩放工程解决方案 提供3套工程源码和技术支持

    FPGA高端项目:Xilinx Zynq7020 系列FPGA纯verilog图像缩放工程解决方案 提供3套工程源码和技术支持 没玩过图像缩放都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。目前市面上主流的FPGA图像缩放方案如下: 1:Xilinx的HLS方案,该方案简单,易于实现

    2024年01月23日
    浏览(40)
  • 【ALINX】FPGA ZYNQ视频教程AX7020教程—02_Vivoda初体验LED灯工程

    【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——FPGA实验篇 File=New Project 工程文件命名:不能出现中文、空格等非法字符 选择芯片型号: 视频定位链接 03:45 AX7020开发板速度选择“ -2 ”;型号选择“ xc7Z020clg400-2 ” ** Vivado窗口介绍 视频定位链接05:44 视频定位链接 08:43 1.选择点

    2024年02月06日
    浏览(41)
  • AD936x Evaluation Software生成的脚本转换成Verilog语言/AD9361配置寄存器/AD9361纯硬件设计/AD9361手把手教程/纯Verilog配置AD9361(二)

    因最近公司需要,借此机会和大家一起学习AD9361 制作不易,记得三连哦,给我动力,持续更新! 纯Verilog配置AD9361工程文件下载:纯Verilog配置AD9361工程         提取码:g9jy    ---------------------------------------------------------------------------------------- 因为ADI官方,只提供了利用软件

    2024年02月04日
    浏览(39)
  • AD9361从入门到入土系列----AD9361工作在LVDS模式的接口规范

    因最近公司需要,借此机会和大家一起学习AD9361 制作不易,记得三连哦,给我动力,持续更新! 工程文件下载:纯硬件SPI配置AD9361   提取码:g9jy 各接口介绍: 1、DATA_CLK 2、FB_CLK 3、RX_FRAME 4、RX_D[5:0] 5、TX_FRAME 6、TX_D[5:0] 7、ENABLE 8、TXNRX -------------------------------------------------

    2024年02月07日
    浏览(32)
  • AD9361收发器中文手册

    因最近公司需要,借此机会和大家一起学习AD9361 制作不易,记得三连哦,给我动力,持续更新! 工程文件下载: 纯硬件SPI配置AD9361    提取码:g9jy ----------------------------------------------------------------------------------------         接收RF信号,并将其转换成可供BBP使用的数字数据

    2023年04月19日
    浏览(37)
  • 【嵌入式开发-AD19】六文搞定Altium Designer-第一章:AD介绍及原理图库的创建

    在文章的开头我想首先简单介绍一下国产全免费EDA软件,嘉立创EDA。嘉立创EDA拥有网页版和安装版两种模式,网页版可以实现工程的多端同步、可以创建工程小组并分配相应的权限,非常方便。 如果是简单的爱好者,我非常推荐使用嘉立创EDA完成相应的工程,同时嘉立创每月

    2023年04月22日
    浏览(38)
  • 无中频软件无线电芯片AD9361的基本介绍

    AD9361在咱们产品中的很多,这也是一个很典型软件无线电芯片架构。我们在这里从软件角度简单介绍一下:   抛弃硬件细节,对于我们软件程序员来说面对的只有两个通路:数据通路和控制通路。 先说控制通路, 通过SPI读写AD9361的寄存器实现对芯片的控制,在实际实现时候

    2024年02月09日
    浏览(29)
  • 【AD9361 数字接口CMOS &LVDS&SPI】C 并行数据 LVDS

    接上一部分,AD9361 数字接口CMOS LVDSSPI LVDS MODE DATA PATH AND CLOCK SIGNALS 以下介绍AD9361数据路径在低压差分信号(LVDS)模式(ANSI-644)下的工作情况。AD9361数据通路接口使用并行数据总线(P0和P1)在AD9361和BBP之间传输采样数据。总线传输使用简单的硬件握手信号进行控制。在LVDS模

    2024年02月07日
    浏览(40)
  • FPGA实现AD9708和AD9280波形收发输出HDMI模拟示波器,串口协议帧控制显示,提供工程源码和技术支持

    AD9708 很简单,8 位分辨率,125MSPS 采样率,输入参考电压3~5V,内置 1.2V 参考电压,8bit数字信号输入,差分电流输出;芯片操作不需要软件配置,给个时钟信号就工作,简单得很,根据官方手册,内部结构如下: SLEEP引脚提供芯片休眠功能,当不需要使用该芯片时可拉高SLEEP以

    2024年02月02日
    浏览(41)
  • 基于AD9361的BPSK调制解调器、位同步、误码率测试demo

    基于AD9361的BPSK调制解调器、位同步、误码率测试demo。 零中频架构,适用于AD9361等软件无线电平台,带AD9361纯逻辑FPGA驱动,verilog代码,Vivado 2019.1工程。 本产品为代码 基于AD9361的BPSK调制解调器、位同步、误码率测试demo 1. 简介    在软件无线电平台上,进行调制解调操作是

    2024年04月23日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包