-
使用vivado中的BRAM可以通过例化
Block Memory Generator
这一ip并进行相关的设定。 -
另外可以通过直接编写符合BRAM规范的代码,借助综合工具直接将其综合为BRAM。这时需要通过
(*ram_style="block"*)
对array
进行修饰。 -
下面给出一种看似可以综合为BRAM的示例。
module LUTRAM #( parameter ADDR_WIDTH = 32,
parameter DATA_WIDTH = 32,
parameter DEPTH = 1024)
(
input logic clk,
input logic rst_n,
//read port
input logic re,
input logic [ADDR_WIDTH-1:0] rd_addr,
output logic [DATA_WIDTH-1:0] rd_data,
//write port
input logic we,
input logic [ADDR_WIDTH-1:0] wr_addr,
input logic [DATA_WIDTH-1:0] wr_data
);
(*ram_style="block"*)logic [DATA_WIDTH-1:0] bram [0:DEPTH-1];
//read
always_ff@(posedge clk, negedge rst_n)
begin
if(!rst_n)
rd_data <= 0;
else if(re)
rd_data <= bram[rd_addr];
else
rd_data <= 0;
end
//write
always_ff @(posedge clk)
begin
if(we)
bram[wr_addr]<=wr_data;
end
endmodule
-
上述代码虽然用
(*ram_style="block"*)
对array
进行了修饰,再综合时却没有消耗BRAM资源,而是消耗了LUTRAM资源。 -
查看Vivado的官方手册ug901可知,对于Distributed RAM(LUTRAM)和Dedicated Block RAM(BRAM),二者都是写同步的。主要区别在于读数据,前者为异步,后者为同步的。
-
到这里也就清楚了为什么上方的代码会被工具综合为LUTRAM,最小的改动只需将
negedge rst_n
去掉即可。
※ 区别于(*ram_style="block"*)
,若要生成LUTRAM,相应的修饰应为(* ram_style="distributed" *)
文章来源:https://www.toymoban.com/news/detail-557940.html -
最后贴出官方手册中给出的简易双端口BRAM的一种示例代码
文章来源地址https://www.toymoban.com/news/detail-557940.html
到了这里,关于Vivado综合生成BRAM及与LUTRAM的区别的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!