在VSCode中配置Verilog仿真环境(详细示例)

这篇具有很好参考价值的文章主要介绍了在VSCode中配置Verilog仿真环境(详细示例)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

引言

最近刚接触数字逻辑这门课,需要用到Verilog并配套Vivado编程,但是本人觉得Vivado内的操作较为繁琐,并且课上对Verilog涉及不多,容易导致新手在实际编写时遇到各种问题。

故本文将描述在VSCode中配置Verilog仿真的方法,同时补充对TestBench文件的讲解,希望可以通过VSCode更简便的实现Verilog仿真。

本质

利用iVerilogGTKWave两个开源软件。

iVerilog负责编译文件,GTKWave负责查看仿真波形。

故本质上可以通过终端命令操作,插件只是简化了操作步骤。

准备工作

1. 安装iVerilog(附带安装GTKWave)

2. 在VSCode中安装Digital IDE插件

vscode配置verilog环境,vscode,ide,编辑器,fpga开发

3. 在插件设置中,配置iVerilog和GTKWave的路径(电脑已经安装Vivado的,可以顺便配置Vivado的路径,以实现实时的语法纠错)

操作步骤

一、编写Verilog代码

以一位全加器做示例,文件名:full_adder.v

module full_adder(Ai,Bi,Ci,Si,Ciout);
    input        Ai;           //输入端口
    input        Bi;           //输入端口
    input        Ci;           //低位进位,输入端口
    output     Si;             //本位和,输出端口
    output   Ciout;            //向高位进位,输出端口
    assign   Si = Ci^Ai^Bi;
    assign   Ciout = Ai & Bi|(Ai^Bi) & Ci;
endmodule

二、编写简单的TestBench文件

关于TestBench理解

TestBench的直译是“测试台”,我将它理解为一种配置文件,在这个文件里面,我们对之前写好的模块进行实例化,并且编写测试的数据,在其中还可以设置仿真时的频率等等……

所以这个文件本质是为后续的仿真服务的

Digital IDE插件自己也有产生testbench的命令,不过也需要手动添加测试数据,故本文尝试自己编写,这样也可以忽略一些新手暂时用不到的配置。

步骤

        1. 设置timescale

  • 格式: `timescale time_unit / time_precision
  • 反引号 ` 相当于C语言里的#号
  • time_unit表示仿真时间的单位
  • time_precision表示仿真时间的精度

        2. 写出与testbench文件重名的module

        3. 把例化所用到的变量列出来

        4. 例化模块

        5. 编写测试数据

  • dumpfile("name.vcd"); 用于产生相应name.vcd波形文件
  • 注意延时输入以产生方波(#号加时间单位)

注意事项

波形文件要命名为wave.vcd,因为插件的simulate指令默认打开wave.vcd

testbench文件(tb_full_adder.v)

`timescale 1ps/1ps                 // 1.设置timescale,时间单位可设为1ps,精度1ps
`include "full_adder.v"            //   有时候编译提示需要include头文件
                                   //   `include相当于C里的#include

module tb_full_adder;              // 2.写出与testbench重名的module

    reg Ai, Bi, Ci;                // 3.列出例化所用到的变量
    wire Si, Ciout;

    full_adder name(               // 4.例化full_adder.v里的全加器模块
        .Ai(Ai),
        .Bi(Bi),
        .Ci(Ci),
        .Si(Si),
        .Ciout(Ciout));

    initial begin                  // 5.下面开始编写测试数据:

        $dumpfile("wave.vcd");     //   产生波形文件的命令
        $dumpvars;                 //   使所有变量都参与仿真的命令

        Ai=1'b0; Bi=1'b0; Ci=1'b0; // 6.以一个时间单位(#1),本例中即1ps的间隔输入测试数据
        #1  Ai=1'b0; Bi=1'b0; Ci=1'b1;
        #1  Ai=1'b0; Bi=1'b1; Ci=1'b0;
        #1  Ai=1'b0; Bi=1'b1; Ci=1'b1;
        #1  Ai=1'b1; Bi=1'b0; Ci=1'b0;
        #1  Ai=1'b1; Bi=1'b0; Ci=1'b1;
        #1  Ai=1'b1; Bi=1'b1; Ci=1'b0;
        #1  Ai=1'b1; Bi=1'b1; Ci=1'b1;
        #1;
    end
endmodule

三、模拟仿真

右键testbench文件,选择“simulate”

弹出窗口后找到相应的变量,缩放观察波形

simulate操作步骤


参考链接

VerilogHDL插件操作步骤
用VS Code + iverilog + GTKwave仿真Verilog_JeronZhou的博客-CSDN博客

文章编写不易,有用的话还请点个赞吧~文章来源地址https://www.toymoban.com/news/detail-559879.html

到了这里,关于在VSCode中配置Verilog仿真环境(详细示例)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • verilog vscode linux

    插件:Verilog Hdl Farmat 功能:代码高亮、光标放到变量上提示变量的信息等

    2024年02月06日
    浏览(25)
  • VSCode关联VIVADO编辑Verilog

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作 (4)Quartus联合 ModelSim仿真及测试 有时候接手别人的代码,或者从网上找的开源代码,每个人风格不一致,对齐缩进也不一样,阅读起来很累。有没有什么方法

    2024年02月16日
    浏览(37)
  • 如何在VSCode中优雅地书写Verilog

    安装Verilog-HDL/SystemVerilog/Bluespec SystemVerilog插件实现语法高亮、定义跳转、代码检错 配置方法: 1.打开配置界面. 2.在Ctags路径选项的框内填入ctags.exe所在路径.(实现定义跳转功能) 3.语法检查选项,检查器选择modelsim,并选择Modelsim的工作库所在位置.(实现语法检查) 工作库的建

    2024年04月28日
    浏览(29)
  • VSCode安装及环境配置详细教程(windows版本)

    目录 安装VSCode 安装Python 检查环境变量 检查Python是否能运行 VSCode环境配置 切换成简体中文 添加Python插件 编写代码运行 !!请先在官网下载Python和VSCode安装包,保存至本地 Python官网:https://www.python.org/downloads/ 根据自己的电脑系统选择相应的版本。    VS Code官网:Download

    2024年02月13日
    浏览(56)
  • 快速下载VScode并配置Python运行环境【详细教程】

    博主:命运之光 前言 本文主要内容,下载VScode和配置Python运行环境, 安装,主打的就是一个简单快速 下载vscode 第一步vscode官网下载 vscode官网下载 点击跳转到下载官网 第二步点击下载 第三步点击下载 下载后等待下载完成即可 安装vscode 第一步 第二步 第三步 第四步 第五步

    2024年02月01日
    浏览(39)
  • VSCODE-Verilog开发插件/(代码格式化+Verilog文件树显示+一键例化+UCF转XDC+代码错误检查+语法高亮)

    VSCODE插件,可实现功能: 变量对齐 逗号对齐 括号对齐 快捷键:CTRL + L 例化的代码自动复制到剪切板 快捷键:ctrl+shift+p :输入 Convert_instance 正常顺序转换 可实现序号的从小到大的排列 快捷键:ctrl+shift+p :输入 Convert UCF to XDC NORMAL ORDER 或 Convert UCF to XDC SORT ORDER ucf, xdc, do, tcl 语法

    2024年03月10日
    浏览(39)
  • Macbook M1使用vscode+iverilog+gtkwave实现Verilog代码的编译与运行

    最近在研究FPGA的开发,于是需要用到Verilog。但是手头上只有一台M1芯片的Macbook air,Vivado和Quatus在MacOS上似乎不太方便使用,险些陷入无尽的对于购买macbook的后悔中… 随后我在网上查找了大量的关于MacOS平台如何实现verilog的开发与编写的相关博客,都提到了使用 vscode+iveril

    2024年02月04日
    浏览(28)
  • 【小白向】MAC端VSCode C++环境配置(超干货、超详细)

    提示:使用环境为 MAC(M2) 其实 VSCode 很早就下载好了,但是因为在配置过程中总是遇到很多坑,搁置了很久,回头捡起遇到报 Error 还是两眼抓瞎,到处翻 blog。为了减少以后的遇坑可能性,整理了这份笔记( 支持编译多cpp文件 , 支持C++11以上的新特性 ),希望能够帮助小

    2024年02月20日
    浏览(34)
  • 【学习】FPGA verilog 编程使用vscode,资源占用多 卡顿 卡死 内存占用多解决方案

            FPGA verilog 编程使用vscode,资源占用多 卡顿 卡死 内存占用多解决方案。         32G内存,动不动就暂用50%!! 1.打开设置 文件-首选项-设置  或者点击软件界面的左下角的齿轮按钮 2.进入如下【设置】界面 3.在上面搜索框中输入: search.followSymlinks,消除勾选  4.在

    2024年01月17日
    浏览(50)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包