呼吸灯——FPGA

这篇具有很好参考价值的文章主要介绍了呼吸灯——FPGA。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。


前言

环境:
1、Quartus18.0
2、vscode
3、板子型号:EP4CE6F17C8
要求:
将四个LED灯实现循环从亮到灭、灭到亮的过程。下面我使用了两种方法供大家阅读。


一、呼吸灯是什么?

呼吸灯其实是在微处理器的控制下,由暗渐亮、然后再由亮渐暗,模仿人呼吸方式的 LED 灯。

1、介绍

呼吸灯采用 PWM 的方式,在固定的频率下,通过调整占空比的方式来控制 LED 灯亮度的变化。PWM(Pulse Width Modulation),即脉冲宽度调制,它利用微处理器输出的 PWM 信号,实现对模拟电路控制的一种非常有效的技术,广泛应用于测量、通信、功率控制等领域。

在由计数器产生的固定周期的 PWM 信号下,如果其占空比为 0,则 LED 灯不亮;如果其占空比为100%,则 LED 灯最亮。所以将占空比从 0 到 100%,再从 100%到 0 不断变化,就可以实现 LED 灯的“呼吸”效果。

2、占空比调节示意图

呼吸灯——FPGA,FPGA,fpga开发

二、系统设计

1、系统框图

呼吸灯——FPGA,FPGA,fpga开发

2、RTL视图

  • 方法一:
    呼吸灯——FPGA,FPGA,fpga开发
  • 方法二:
    呼吸灯——FPGA,FPGA,fpga开发

三、源码

  • 方法一:
module BREATH_LED(
    input   sys_clk , //时钟信号 50Mhz
    input   sys_rst_n , //复位信号
    
    output  [3:0] led //LED
);
 
 //reg define
reg [15:0] period_cnt ; //周期计数器频率:1khz 周期:1ms 计数值:1ms/20ns=50000
reg [15:0] duty_cycle ; //占空比数值
reg inc_dec_flag ; //0 递增 1 递减
 
 //*****************************************************
 //** main code
 //*****************************************************
 
 //根据占空比和计数值之间的大小关系来输出 LED
 assign led = (period_cnt >= duty_cycle) ? 4'b1111 : 4'b0000;
 
 //周期计数器
 always @(posedge sys_clk or negedge sys_rst_n) begin
 if(!sys_rst_n)
    period_cnt <= 16'd0;
 else if(period_cnt == 16'd50000)
    period_cnt <= 16'd0;
 else
    period_cnt <= period_cnt + 1'b1;
 end
 
 //在周期计数器的节拍下递增或递减占空比
 always @(posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n) begin
        duty_cycle <= 16'd0;
        inc_dec_flag <= 1'b0;
    end
 else begin
    if(period_cnt == 16'd50000) begin //计满 1ms
        if(inc_dec_flag == 1'b0) begin //占空比递增状态
            if(duty_cycle == 16'd50000) //如果占空比已递增至最大
                inc_dec_flag <= 1'b1; //则占空比开始递减 else //否则占空比以 25 为单位递增
                
            else
                duty_cycle <= duty_cycle + 16'd25;
        end
        else begin //占空比递减状态
            if(duty_cycle == 16'd0) //如果占空比已递减至 0
                inc_dec_flag <= 1'b0; //则占空比开始递增
            else //否则占空比以 25 为单位递减
                duty_cycle <= duty_cycle - 16'd25;
        end
    end
  end
 end
 endmodule
  • 方法二:
module BREATH #(
parameter 
TIME_US = 6'd49,
TIME_MS = 10'd999,
TIME_S  = 10'd999)
(
    input  clk,
    input  rst_n,

    output reg [3:0]led
);

// parameter TIME_US = 6'd49;
// parameter TIME_MS = 10'd999;
// parameter TIME_S  = 10'd999;

reg [5:0] cnt_us;
reg [9:0] cnt_ms;
reg [9:0] cnt_s;
reg flag;

wire add_cnt_us;
wire end_cnt_us;

wire add_cnt_ms;
wire end_cnt_ms;

wire add_cnt_s;
wire end_cnt_s;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt_us <= 6'd0;
    end
    else if(add_cnt_us)begin
        if(end_cnt_us)begin
            cnt_us <= 6'd0;
        end
        else begin
            cnt_us <= cnt_us + 1'd1;
        end
    end
    else begin
        cnt_us <= cnt_us;
    end
end

assign add_cnt_us = 1'b1;
assign end_cnt_us = add_cnt_us && cnt_us == TIME_US;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt_ms <= 10'd0;
    end
    else if(add_cnt_ms)begin
        if(end_cnt_ms)begin
            cnt_ms <= 10'd0;
        end
        else begin
            cnt_ms <= cnt_ms + 1'd1;
        end
    end
    else begin
        cnt_ms <= cnt_ms ;
    end
end

assign add_cnt_ms = end_cnt_us;
assign end_cnt_ms = add_cnt_ms && cnt_ms == TIME_MS;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt_s <= 10'd0;
    end
    else if(add_cnt_s)begin
        if(end_cnt_s)begin
            cnt_s <= 10'd0;
        end
        else begin
            cnt_s <= cnt_s + 1'd1;
        end
    end
    else begin
        cnt_s <= cnt_s ;
    end
end

assign add_cnt_s = end_cnt_ms;
assign end_cnt_s = add_cnt_s && cnt_s == TIME_S;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        flag <= 1'b0;
    end
    else if(end_cnt_s)begin
        flag <= ~flag;
    end
    else begin
        flag <= flag;
    end
end
//通过比较秒与毫秒的计数大小实现占空比的变化
//因为毫秒在秒每加一后,都会重新开始,使得高低电平的占比不同
//实际上呼吸灯周期就是秒计数周期,变换的占空比大小就是循环加1或减一
always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        led <= 4'b0000;
    end
    else if(!flag)begin
        led <= (cnt_s > cnt_ms)?4'b0000:4'b1111;
    end
    else if(flag)begin
        led <= (cnt_s > cnt_ms)?4'b1111:4'b0000;
    end
    else
        led <= led;
end
endmodule

实际上我更喜欢第一种,代码简洁易懂。

四、效果

呼吸灯


五、总结

呼吸灯的实现过程并不难,在原有的stm32实现呼吸灯的理解下更加透彻,就是通过控制周期里占空比的变化来实现。但值得一提的是第一种实现方法确实比较精简。

六、参考资料

以上资料均来自正点原子的教学视频或开拓者2开发教程:
原子官方文章来源地址https://www.toymoban.com/news/detail-563911.html

到了这里,关于呼吸灯——FPGA的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于fpga_EP4CE6F17C8实现的呼吸灯

    网上找资料时一般出现的是led灯1s从暗到亮,下一个1s从亮到暗,所以在此记录一篇2s的呼吸灯,也为日后自己复习提供一点帮助,结尾有源码。 四个LED灯2s从暗到亮,下一个2s从亮到暗,循环显示。 在fpga中,呼吸灯的实现是通过控制占空比的多少,输出两段,第一段:由暗到

    2024年02月02日
    浏览(21)
  • fpga_pwm呼吸灯(EP4CE6F17C8)

    呼吸灯是指灯光在微电脑的控制之下完成由亮到暗的逐渐变化,使用开发板上的四个led灯实现1s间隔的呼吸灯。

    2024年02月15日
    浏览(30)
  • 北邮22级信通院数电:Verilog-FPGA(12)第十二周实验(2)彩虹呼吸灯(bug已解决 更新至3.0)

    北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.代码部分 1.1一些更新和讲解 1.2改正后的代码  二.管脚分配 三

    2024年02月04日
    浏览(38)
  • Fpga开发笔记(二):高云FPGA发开发软件Gowin和高云fpga基本开发过程

    若该文为原创文章,转载请注明原文出处 本文章博客地址:https://hpzwl.blog.csdn.net/article/details/135620590 红胖子网络科技博文大全:开发技术集合(包含Qt实用技术、树莓派、三维、OpenCV、OpenGL、ffmpeg、OSG、单片机、软硬结合等等)持续更新中… 上一篇:《Fpga开发笔记(一):

    2024年01月16日
    浏览(55)
  • 【FPGA】高云FPGA之科学的FPGA开发流程

    项目需要做什么,了解系统的功能,如果是大型的项目还会划分模块 通过使用verilog、VHDL、成熟的IP核或者通过画原理图的方式进行逻辑输入设计 当逻辑输入设计完成后需要对其进行验证,该部分由软件部分进行验证,如果逻辑输入有问题需要检查语法错误或则重新设计设计

    2024年02月04日
    浏览(34)
  • 学习FPGA之四:FPGA开发方法

            FPGA是一个很特殊的芯片,可能在2个月前,我还对它一无所知。我们熟知的芯片都是CPU,GPU,或者知道ASIC的概念。但实际上,FPGA已经走过了30个年头,它目前已经成为一个包含各种先进电路,逻辑单元,接口,芯片封装,制造等技术的“集大成者”。在硬件不断发

    2024年02月06日
    浏览(41)
  • 【FPGA】FPGA入门 —— 基本开发流程

    快速上手verilog语法 状态机,线性序列机 FPGA常见的设计方法 自己写代码,下载代码进行使用,使用厂家/第三方提供的IP核 常见接口设计 等等。。 学习时间:基础内容的学习 - 20*8h,啊啊啊我可以我能行,看来这个月我给把时间砸这上面了~~ 仿真两大作用:检查验证设计功能

    2024年02月11日
    浏览(43)
  • 【安路FPGA】FPGA开发日记(一)

    一、开发环境的安装 首先去安路官网下载安装包工具与资料下载-国产FPGA创新者 - 安路科技 下载后解压 点击安装包直接傻瓜式安装即可 备注:安装后无法打开或者缺文件等情况是安路安装包不包含一些C++库,需要我们自己下载一个  二、一切准备工作做完开始建立第一个属

    2024年02月07日
    浏览(54)
  • Xilinx FPGA 开发软件:让 FPGA 开发更加高效

    Xilinx FPGA 开发软件:让 FPGA 开发更加高效 FPGA(Field Programmable Gate Array)是一种硬件设计语言,可以用来构建可重构的数字电路。在 FPGA 的开发过程中,Xilinx FPGA 开发软件是必不可少的工具之一。它不仅可以简化 FPGA 的设计流程,而且还可以提高设计的效率。 Xilinx FPGA 开发软

    2024年02月04日
    浏览(43)
  • FPGA经验谈系列文章——FPGA开发方向以及算法开发模型

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA开发笼统的说可以分为两个方向,一个是接口方向、一个是算法方向。 接口方向可不是简单的uart、IIC、SPI等这些简单接口,这些东西不足以支撑一个方向,大部分都是基于serdes的高速复杂接口,例如

    2023年04月10日
    浏览(54)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包