对Modelsim例程文件进行编译、仿真

这篇具有很好参考价值的文章主要介绍了对Modelsim例程文件进行编译、仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

要学习verilog同学可以看过来,其实在modelsim里自带一些例程供我们参考学习,本文将带大家一起完成Verilog例程文件的编译和仿真操作,细致地说就是找到官方例程,对它进行编译、仿真得到波形。
Step1:首先找到modelsim软件中的例程:以modelsim-SE10.5版本为例,依次打开modeltech64_10.5、examples、tutorials、Verilog和projects文件,最终找到该文件下的counter.v和tcounter.v文件。其中前者是模块文件,后者是测试文件,将该文件下的counter.v和tcounter.v文件拷贝到自己建的工程下面。
modelsim 文件,fpga开发

下图是在modelsim中新建工程添加counter.v和tcounter.v文件后得到的:modelsim 文件,fpga开发Step2:编译代码文件:按住ctrl键依次选择两个文件,右键依次选择Compile、Compile Selected,编译成功后得到下图:modelsim 文件,fpga开发modelsim 文件,fpga开发Step3:选择测试台文件tcounter.v对模块文件counter.v进行仿真,由于在tcounter.v文件里是定义的是test_counter,所以仿真也要选择test_counter进行仿真,注意一定不要勾选Enable Optimization:modelsim 文件,fpga开发modelsim 文件,fpga开发modelsim 文件,fpga开发选择tes_counter后右键,选择Add Wave添加波形:modelsim 文件,fpga开发
添加波形后在波形窗口里多了三个变量:modelsim 文件,fpga开发
点击运行,将显示所有波形,可以适当放大波形更清楚地显示:modelsim 文件,fpga开发
下图就是最终得到的仿真结果:modelsim 文件,fpga开发文章来源地址https://www.toymoban.com/news/detail-564019.html

到了这里,关于对Modelsim例程文件进行编译、仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形

    本篇记录如何独立的使用Modelsim进行仿真,便于之后查看。 Modelsim独立仿真的步骤: 创建工作文件夹——编译设计文件——导入及运行仿真——调试结果 具体的: 1、新建一个工程 指定工程名称、路径和默认库名称。一般情况下,设定Default Library Name默认库名称为work。 指定的

    2023年04月08日
    浏览(32)
  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(38)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • VIVADO和MODELSIM联合仿真编译库问题

    初次在VIVADO中使用MODELSIM仿真器,或仿真时由于编译库问题报错,可进行如下步骤,个人经验,源于百度,如有问题,请多多指教。 Compiled Library location处选择编译库存放的位置,可在任意处新建文件夹即可;Simulation executable path选择modelsim安装目录下win64文件夹,点击Compile进

    2024年02月12日
    浏览(42)
  • FPGA学习笔记(二)——Modelsim仿真、testbench编写

    我的Modelsim-Altera是在安装Quartus13.0时下载的,里面会有选项,安装初学者版本就可以,在Quartus18.0里也可以使用。 这样就完成了关联设置,再次进行仿真就不会出现上篇文章出现的报错了。  sel为1的时候,out与a一致;sel为0的时候,out与b一致。  这只是简单的波形仿真,下面

    2023年04月15日
    浏览(35)
  • FPGA设计——verilog实现乒乓操作并modelsim仿真

    乒乓操作是FPGA设计中常用的一种技巧,它通过数据流控制实现按节拍相互配合的切换,来提高数据处理效率,达到无缝缓冲和处理的效果。本文针对乒乓操作进行学习总结。 完整工程 一、原理图如下 : 1、二选一控制器来对缓冲模块1和2进行选择。 2、数据缓冲模块一般就是

    2023年04月08日
    浏览(33)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(33)
  • FPGA学习笔记:verilog基础代码与modelsim仿真(三)

    1. 分频器——偶分频 方法1: verilog代码实现: modelsim仿真波形图: 方法2(推荐): 比起方法一直接使用计数器定义一个新的时钟波形,方法二使用flag_out作为分频变量从而实现分频器的功能。虽然二者都使用了计数器,但方法二显然更符合“分频”的定义,且此方法在告诉

    2024年02月06日
    浏览(35)
  • modelsim仿真之do文件

    最重要的一步是编辑do文件中的内容:(需要修改三个地方的内容)第一是testbench文件:也就是仿真文件名,把vlog后面的文件换成你的仿真文件名,设计文件就是你写源程序的文件,你要编译的文件全部加进来,方法和仿真一样,指定仿真顶层,也是把后面改成你的仿真文件

    2024年01月21日
    浏览(36)
  • FPGA学习笔记:verilog基础代码与modelsim仿真(六)——vga显示模块

    VGA显示 目标:实现屏幕红、橙、黄、绿、青、蓝、紫、黑、白、灰条形显示 1. 模块框图与波形图 vga_colorbar是实现目标功能的总体模块框图,为了实现对应的输出,我们使用三个具体功能模块实现功能。 (1) clk_gen——使用pll锁相环实现时钟分频 (2)vga_ctrl——图像控制与输出模

    2024年02月04日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包