FPGA 面试经历分享

这篇具有很好参考价值的文章主要介绍了FPGA 面试经历分享。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

大家好,我是小菜鸟。寒假期间经过师兄推荐面试了一个 FPGA 岗位的实习,共经历了两次技术面试,虽然最后没有通过,但是这次面试收获还是蛮多的。

这是我第一次面试 FPGA 方向的岗位,一时竟不知要从哪下手,师兄建议准备下 FPGA 的底层原理,当时还说会有手撕代码的环节,就在牛客网上刷了刷题,还记了笔记,然而最后一点儿都没有用上。

技术一面,一对一,不用开视频,听声音面试官很和蔼可亲。先是一段自我介绍,然后就进入了问答环节。主要询问了一些关于 FPGA 的基础概念,有建立时间和保持时间、亚稳态、时序设计、时钟抖动和时钟偏移、同步电路和异步电路、触发器和锁存器的区别等等。乍一听一头雾水,怎么说呢,平时也没太关注这个,可能逻辑设计中知道这个东西,但是也不知道定义呀。

除了亚稳态实打实知道,其他的就只能根据字面意思还有自己的理解去回答了,中间还把嵌入式的东西扯进来了,当然回答的很不着调,面试官告诫我说平时也要注意这些常见的概念,都是些基础的东西,回去自己要再查查。

然后简单交流了一下做的项目就结束了,全程大概 40 分钟左右。紧接着我就去查了查那些问题,悔不当初,基础的不能基础了,概念定义一看就懂了,要是自己提前准备下准能记得。晚上通知了第二天二面,不再纠结了就再去准备 Verilog 了,毕竟还是很怕手撕代码的。

技术二面也是一对一,要开视频,开始因为摄像头没准备好有点紧张。首先还是先自我介绍,然后进入问答环节。这次就着重询问简历里的内容了,关于项目经历问得很详细,项目里的数据流向、时钟配置、传输协议、FIFO 深度、图像处理方法等等,虽然都了解,但感觉回答的也一般般,有几个点后来想了想面试官可能都没有 get 到我的意思。

面试官也问了一些项目中相关的概念性问题,印象最深的是问了关于 8B10B 编码的原理,当时做毕设的时候了解很全面的,但因为时间太长了就记不很清楚了,印象中模模糊糊,回答的就有点模棱两可。

总的来说不太顺利。最终也没有等来手撕代码环节,有点小遗憾。

小小的总结下这次面试经历,第一,基础知识一定要牢记,细节方面一定要注意,该背的东西一定要背;第二,关于简历里的东西自己一定要熟悉,特别是项目经历,面试官一般都要根据这些来深挖你的能力,第三,保持自信,不要紧张,冷静思考,表述清晰!

最后,衷心祝愿大家参加面试能一帆风顺、马到成功!

作者:Hello阿尔法
链接:FPGA 面试经历分享文章来源地址https://www.toymoban.com/news/detail-580786.html

到了这里,关于FPGA 面试经历分享的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 2023年面试经历:美团4面+字节4面(均已拿offer),面试真题分享

    前言: 面试,跳槽,每天都在发生,而对程序员来说\\\"金九银十\\\"更是面试和跳槽的高峰期,跳槽,更是很常见的,对于每个人来说,跳槽的意义也各不相同,可能是一个人更向往一个更大的平台,更好的地方,可以通过换一个环境改变自己的现状。 而我正是其中一员,投了十

    2024年02月13日
    浏览(27)
  • 一段凄惨Android 面试经历分享,败在了项目架构原理上……

    大家应该看过很多分享面试成功的经验,但根据幸存者偏差的理论,也许多看看别人面试失败在哪里,对自己才更有帮助。 这是一位网友分享的面试经历,他准备了3个月,刚刚参加完字节跳动的第三面,视频面,嗯,挂了… 网友:你好,大佬 大佬:你好 网友:最近出去面

    2024年02月01日
    浏览(32)
  • FPGA面试题【FPGA如何进行资源评估】

    目录 题目 核心思路 答案 FPGA全貌 FPGA如何进行资源评估 八股文咯 大家在项目中一般会要遇到需要资源评估的情况,例如立了新项目,前期需要确定使用什么FPGA片子,做到成本越小越好。这就要对即将要实现的功能进行下资源评估。 如何评估 1、你需要一个参考工程 一般来

    2024年02月20日
    浏览(32)
  • 【FPGA】分享一些FPGA视频图像处理相关的书籍

      在做FPGA工程师的这些年,买过好多书,也看过好多书,分享一下。         后续会慢慢的补充书评。   【FPGA】分享一些FPGA入门学习的书籍 【FPGA】分享一些FPGA协同MATLAB开发的书籍  【FPGA】分享一些FPGA视频图像处理相关的书籍  【FPGA】分享一些FPGA高速信号处理相关的书

    2024年02月04日
    浏览(30)
  • 【FPGA】分享一些FPGA高速信号处理相关的书籍

      在做FPGA工程师的这些年,买过好多书,也看过好多书,分享一下。         后续会慢慢的补充书评。 【FPGA】分享一些FPGA入门学习的书籍 【FPGA】分享一些FPGA协同MATLAB开发的书籍  【FPGA】分享一些FPGA视频图像处理相关的书籍  【FPGA】分享一些FPGA高速信号处理相关的书籍

    2024年02月04日
    浏览(37)
  • FPGA学习经验分享——入门篇

    FPGA是一个高度集成化的芯片,其学习过程既需要编程,又需要弄懂硬件电路和计算机架构。涉及到的知识和基础非常多,如果不合理地安排学习内容,学习过程会非常漫长和枯燥。这篇文章主要阐述了对于入门FPGA的一些经验分享,希望能够给想学FPGA的人一些引导,少走一些

    2024年02月03日
    浏览(31)
  • FPGA面试题(7)

    0 1 时钟极性CPOL 空闲状态为低电平 空闲状态为高电平 时钟相位CPHA 在第一个跳变沿采样 在第二个跳变沿采样 模式 CPOL CPHA 描述 模式0 0 0 sclk上升沿采样,sclk下降沿发送 模式1 0 1 sclk上升沿发送,sclk下降沿采样 模式2 1 0 sclk上升沿发送,sclk下降沿采样 模式3 1 1 sclk上升沿采样,

    2024年02月05日
    浏览(30)
  • FPGA面试题(2)

    同步复位:当clk有效时,复位才有效。 优点:有利于时序分析,防止毛刺现象出现。 缺点:复位信号必须大于时钟周期,大部分逻辑器件中D触发器都只有异步复位端口,需要在寄存器数据输入插入组合逻辑,需要考虑组合逻辑延迟因素。 异步复位:复位信号与clk无关,只与

    2024年02月07日
    浏览(31)
  • FPGA面试题(3)

    FPGA:现场可编程门阵列 CPLD:复杂可编程逻辑器件 慢时钟域-快时钟域:同步打拍 快时钟域-慢时钟域:先拓展位宽再同步打拍 1.异步FIFO 2.保持寄存器+握手信号 3.特殊的具体应用电路结构,根据应用的不同而不同 BLOCK RAM:由一定数量固定大小的存储块构成的,不占用额外的逻

    2024年02月04日
    浏览(28)
  • FPGA面试题整理1

    有关FPGA的简答题 FPGA和CPLD都是数字电路的可编程逻辑器件,它们的主要区别在于规模和灵活性。 FPGA(FieldProgrammable_GateArray是一种可编程逻辑器件,由大量的可编程逻辑单元(例如逻辑门、寄存器等)和可编程的互连资源(例如通道、开关、线缆等)组成。FPGA可实现复杂的数

    2024年02月08日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包