【Multisim仿真】74LS47译码器驱动共阳数码管显示(0-8)数字显示

这篇具有很好参考价值的文章主要介绍了【Multisim仿真】74LS47译码器驱动共阳数码管显示(0-8)数字显示。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

【Multisim仿真】74LS47译码器驱动共阳数码管显示(0-8)数字显示


  • 🎬Multisim仿真演示
    multisim译码器,Multisim电路仿真,Multisim仿真,74LS47译码器

📑74ls47引脚功能

multisim译码器,Multisim电路仿真,Multisim仿真,74LS47译码器

  • LT: 试灯输入,是为了检查数码管各段是否能正常发光而设置的。当LT=0时,无论输入A3,A2,A1,A0 为何种状态,译码器输出均为低电平,也就是七段将全亮,若驱动的数码管正常,是显示8。

  • BI: 灭灯输入,是为控制多位数码显示的灭灯所设置的。当BI=0时,不论LT和输入A3,A2,A1,A0为何种状态,译码器输出均为高电平,使共阳极数码管熄灭。

  • RBI : 灭零输入,它是为使不希望显示的0 熄灭而设定的。当对每一位A3= A2 =A1=A0=0时,本应显示0,但是在RBI=0作用下,使译码器输出全为高电平。其结果和加入灭灯信号的结果一样,将0熄灭。

  • RBO: 灭零输出,它和灭灯输入BI共用一端,两者配合使用,可以实现多位数码显示的灭零控制。

📓74ls47原理

  74LS47是BCD-7 段数码管译码器驱动器,74LS47 的功能用于将BCD 码转化成数码块中的数字,通过它来进行解码,可以直接把数字转换为数码管的数字,从而简化了程序,节约了单片机的IO开销。因此是一个非常好的芯片! 但是由于目前从节约成本的角度考虑,此类芯片已经少用,大部分情况下都是用动态扫描数码管的形式来实现数码管显示。
  译码器的逻辑功能是将每个输入的二进制代码译成对应的输出的高、低电平信号。常用的译码器电路有二进制译码器、二–进制译码器和显示译 码器。译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系 74LS47 是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表2.1列出了74LS47的真值表,表示出了它与数码管之间的关系。

📜74ls47真值表

multisim译码器,Multisim电路仿真,Multisim仿真,74LS47译码器

通过4位拨码开关,来控制74LS47译码器输出引脚
  • 例如需要显示数字7
    multisim译码器,Multisim电路仿真,Multisim仿真,74LS47译码器
  • 例如需要显示数字5
    multisim译码器,Multisim电路仿真,Multisim仿真,74LS47译码器

其他相关应用电路

multisim译码器,Multisim电路仿真,Multisim仿真,74LS47译码器

### 📚仿真资源文章来源地址https://www.toymoban.com/news/detail-584839.html

  • 🔖 本实验基于Multisim14平台
  • 🔖由于某些原因,不再对外,公布相关资源链接,可根据原理自行搭建。

  青春的羽翼,划破了伤痛的记忆;昨日的泪水,激起了心中的涟漪。。

到了这里,关于【Multisim仿真】74LS47译码器驱动共阳数码管显示(0-8)数字显示的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA学习小例子:38译码器设计与仿真

    译码器74x138是数字电路课程重点内容之一。译码器的设计比 较简单,使用Verilog语言实现译码器就更为简单。本教程设计了一个3-8译码器并做了仿真。 打开vivado,点击File 填写项目名,以及选择项目路径 并勾选“Do not specify sources at this time”,意思是先创建工程,后期再添加

    2024年02月09日
    浏览(38)
  • 3-8译码器

      3-8译码器,就是把3种输入状态翻译成8种输出状态,译码器是将输入的具有特定含义的二进制代码翻译成输出信号的不同组合,实现电路控制功能的逻辑电路。译码器在数字系统中应用广泛,可用于代码的转换、终端数字的显示、数据的分配等等。   通过简单的3-8译码

    2024年02月11日
    浏览(28)
  • 小梅哥——38译码器

    三八译码器,即是 3 种输入状态翻译成 8 种输出状态。 真值表 代码展示 testbench 仿真图 补充 (1)always语句两种触发方式 a、第一种是 电平触发 ,例如always @(a or b or c), a、b、c均为变量,当其中一个发生变化时,下方的语句将被执行。 b、第二种是 沿触发 ,例如always @(po

    2023年04月17日
    浏览(34)
  • 74138译码器详解

    74138也叫74LS138 参考 百度百科讲的非常详细了。 在这个图中,当6号口通高电平,4、5号口通低电平(因为通了低电平, E 2 ‾ overline {E2} E 2 , E 3 ‾ overline{E3} E 3 , 会变成高电平输入到译码器中)时,译码器开始工作。 然后1、2、3,也就是A0,A1,A2对应输入3位二进制数,从最

    2024年02月01日
    浏览(73)
  • verilog入门-38译码器

    一、组合逻辑电路与时序逻辑电路 组合逻辑电路: 任意时刻的输出仅仅由该时刻的输入决定,与电路当前的状态无关 。 时序逻辑电路: 任意时刻的输出不仅由该时刻的输入决定,还与电路当前的状态有关 。 二、38译码器的工作原理 表①、38译码器真值表 3种输入状态翻译

    2024年02月11日
    浏览(30)
  • verilog——三八译码器

    三八译码器 test_bench代码

    2024年02月12日
    浏览(30)
  • 【Verilog七段译码器】

    本人只是初学,代码经过实验验证,仅供参考 我自己查找模仿编写运行的代码,如有侵权,联系删除。 这是译码器,其作用是将四位 BCD 码转换成七段数码管的段码,显示 1、 2、3…数字。 简单的译码器,书上的例题,只是我多设置了一个输出B

    2024年02月07日
    浏览(30)
  • FPGA_38译码器

    本文来自《小梅哥FPGA视频》的笔记整理 不要眼高手低,一定一定要实践,自己动手去敲一遍!!! 在数字电路中可以根据电路功能的不同分为,组合逻辑电路与时序逻辑电路。 组合逻辑 电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状

    2023年04月11日
    浏览(29)
  • 138译码器的工作原理

    E1.E2.E3为三个使能输入端,其中E1和E2为低有效,E3为高有效,除非E1和E2置低且E3置高,否则74HC138将保持所有输出为高; 当S1 = 0 或S2’ + S3’ = 1 时,译码器被禁止工作,当S1 = 1时,S2’+S3’ = 0 时,译码器处于工作状态。 A0,A1,A2为3位二进制加权地址输入端口,A2A1A0顺序组成

    2024年02月11日
    浏览(29)
  • 实验二 译码器及其应用

    五、实验步骤与实验结果 法一:基于附件一代码实现74138功能 1、用VHDL设计38译码器。打开QuarterII新建一个基于ALTERA的Cyclone系列FPGA芯片EP1C3T144C8N的项目保存在D:dianluecp1文件夹中,新建一个Verilog HDL文件,将附件一代码写入,运行无错误,进行引脚锁定(图1-1),重新运行,无误

    2023年04月17日
    浏览(28)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包