vivado Xilinx V7差分转单端时钟

这篇具有很好参考价值的文章主要介绍了vivado Xilinx V7差分转单端时钟。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

baba因为Xilinx内部只有一个差分时钟,我们需要转为单端来使用,下面是差分转单端的教程。鄙人的一点总结,有错误请指出!

vivado差分时钟转单端时钟,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-595085.html

其内部时钟可以看到是一个差分时钟,需要转为单端时钟。IBUFGS即专用差分输入时钟缓冲器(Dedicated Differential Signaling Input Buffer with Selectable I/O Interface)其原语为:

IBUFDS #(

      .DIFF_TERM("FALSE"),       // Differential Termination

      .IBUF_LOW_PWR("TRUE"),     // Low power="TRUE", Highest performance="FALSE"

      .IOSTANDARD("DEFAULT")    // Specify the input I/O standard

   ) IBUFDS_inst (

      .O(O),  // Buffer output

      .I(I),  // Diff_p buffer input (connect directly to top-level port)

      .IB(IB) // Diff_n buffer input (connect directly to top-level port)

   );

若要改为全局时钟还需要加上

BUFG BUFG_i0 (

      .O(data_clk),   // 1-bit output: Clock output, 36MHz.

      .I(data_clk_tmp)     // 1-bit input: Clock input, 36MHz.

    );

找到其原语步骤为

第一步:

vivado差分时钟转单端时钟,fpga开发

 

第二步:

vivado差分时钟转单端时钟,fpga开发

 

第三步:

vivado差分时钟转单端时钟,fpga开发

找到原语,需要将调用部分括号内改为对应的差分时钟输入和单端输出(后面有注释)

 

到了这里,关于vivado Xilinx V7差分转单端时钟的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

    目录 目录 一、背景介绍 二、差分信号转单端信号  (1)使用Verilog (2)使用VHDL 三、单端转差分信号  (1)使用Verilog (2)使用VHDL             FPGA内部所有信号都是单端的,差分只出现在引脚上。          在FPGA的开发过程中经常遇到,差分信号转单端信号或者单端信

    2024年02月06日
    浏览(37)
  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(49)
  • Vivado | FPGA开发工具(Xilinx系列芯片)

    官网下载地址 最详细的Vivado安装教程 Vivado的安装以及使用_入门

    2024年02月12日
    浏览(43)
  • Xilinx FPGA SPIx4 配置速度50M约束语句(Vivado开发环境)

    qspi_50m.xdc文件: 等同于图形化配置方式:

    2024年02月22日
    浏览(43)
  • 【代码】Xilinx + Vivado + 数字时钟(时分秒) + LED指示

    每1秒 sec 计数累加1次,59次之后清零; 每1分钟 min 计数累加1次,59次之后清零; 每1小时 hour 计数累加1次,23次之后清零; LED1交替翻转,1秒翻转1次,所以1亮1灭是2秒; LED2交替翻转,1分钟翻转1次; 首先要申明一点,目前入门FPGA的阶段属于非常非常,所有工程代码、工程逻

    2024年02月04日
    浏览(45)
  • XILINX 7系列FPGA普通IO与差分IO

      🏡《Xilinx FPGA开发宝典》     本文介绍XILINX 7系列FPGA普通IO和差分IO的识别方法与注意事项。     7系列FPGA的绝大多数IO均支持差分,但是有些IO是不支持的,应注意不要用错差分IO的极性以及不要将普通非差分IO作为差分IO使用。     如下图所示差分IO的命名

    2024年02月11日
    浏览(33)
  • Xilinx 7系列FPGA局部时钟资源

    局部时钟网络是玩去哪独立于全局时钟网络的。与全局时钟不同,局部时钟信号(BUFR)的覆盖范围仅限于一个时钟区域。一个I/O时钟信号驱动单个时钟区域。这些网络对于源同步接口设计特别有用。在7系列器件中,I/O bank与局部时钟域的大小相同。 在7系列器件中,局部时钟

    2024年04月29日
    浏览(35)
  • xilinx 7系列FPGA时钟布线资源

    7系列FPGA拥有多种时钟路由资源,以支持各种时钟方案和需求,包括高扇出、短传播延迟以及极低的偏斜。为了最佳地利用时钟路由资源,需要了解如何将用户时钟从PCB传递到FPGA,确定哪种时钟路由资源最优,然后通过利用适当的I/O和时钟缓冲器来访问这些时钟路由资源。

    2024年04月22日
    浏览(42)
  • Xilinx 7系列FPGA的时钟管理

    在7系列FPGA中,时钟管理单元(CMT)包含了混合模式时钟管理器(MMCM)和锁相环(PLL)。PLL是包含了MMCM功能的一个子集。CMT骨干网可用于链接CMT的时钟功能。CMT图(图3-1)展示了各种时钟输入源与MMCM/PLL之间连接的高级视图,时钟输入连接允许多个资源为MMCM/PLL提供参考时钟

    2024年04月26日
    浏览(33)
  • 【FPGA】Xilinx vivado生成.dcp文件的方法

    DCP文件是vivado软件生成的网表文件,主要起到加密的作用,在不需要提供源代码的情况下运行工程。 首先,需要新建工程,工程顶层文件就是生成后dcp文件的名称,然后在vivado-Tool-setting-project-setting-synthesis路径下,在More options中输入-mode out_of_context(综合时不产生IO buffer),

    2024年04月12日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包