【数电基础】——触发器

这篇具有很好参考价值的文章主要介绍了【数电基础】——触发器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

1.大纲

2.双稳态电路 

3. SR锁存器(或非门构成)

 4.SR锁存器(与非门构成)

 5.门控SR锁存器(与非门构成)

 6.触发器

1.D触发器 (电平触发)

 2.D触发器(上升沿触发)

2.T触发器

 3.JK触发器


1.大纲

d触发器,数电基础,fpga开发

 在数字电路设计里面只需要掌握上升沿触发的D触发器(DFF)

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 能够知道数字电路的本质是一个状态机,是电路内部的在状态在不断的跳转。

2.双稳态电路 

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

3. SR锁存器(或非门构成)

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发 d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发d触发器,数电基础,fpga开发

 4.SR锁存器(与非门构成)

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 5.门控SR锁存器(与非门构成)

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 6.触发器

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 在数字电路设计中,我们只用上升沿触发的D触发器作为基本时序逻辑单元

1.D触发器 (电平触发)

d触发器,数电基础,fpga开发d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 2.D触发器(上升沿触发)

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 当上升沿(CLK)来的时候,Q发生改变(如果此时D为1,那么Q为1.如果此时D为0,那么Q为0),在其他时间Q保持和上一个状态一样。

 一定要会分析上升沿触发的D触发器的波形图!!!

d触发器,数电基础,fpga开发

 PS:上图是3个D触发器连接,Q1采D的值,Q2采Q1的值,Q3采Q2的值,并且这3个D触发器都是由同一个时钟信号触发的。当上升沿来的时候,Q1、Q2、Q3会发生变化,而且只会在上升沿结束的时候才发生改变。那么当上升沿来的时候,Q2采Q1采的是Q1在上升沿来之前的值,同理Q3采Q2也是一样。

我们可以发现Q3比Q2落后一个周期,Q2比Q1落后一个周期。

我们可以将上述图写成并行执行(同时发生)的非阻塞复制语句

Q1<=D

Q2<=Q1

Q3<=Q2

2.T触发器

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

 3.JK触发器

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发

d触发器,数电基础,fpga开发文章来源地址https://www.toymoban.com/news/detail-596569.html

到了这里,关于【数电基础】——触发器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA】Verilog:时序电路 | 触发器电路 | 上升沿触发 | 同步置位 | 异步置位

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:触发器电路    ​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Sw

    2024年02月11日
    浏览(27)
  • 「FPGA」基本时序电路元件——锁存器和触发器

    FPGA是一种数字电路实现的方式,它是基于小型查找表(16X1)设计的,它的兄弟CPLD是基于高密度复杂组合逻辑设计的。FPGA的一个优点是触发器资源丰富,适合实现复杂的时序设计。本文将从 门级电路 的角度来介绍时序电路的基本结构,锁存器(Latch)和触发器(flip-flop)。

    2024年02月11日
    浏览(43)
  • FPGA结构:LATCH(锁存器)和 FF(触发器)介绍

    如果你想学习有关FPGA的专业术语,可以参考这一篇:FPGA专业术语介绍 一句话概括,能够存储一个状态的数字电路叫做锁存器。 以下是最为基本的一个RS锁存器的具体结构: 以下是它的真值表,其中X表示不确定/无效: R {R} R (清零) S {S} S (置位) Q ( t ) {Q(t)} Q ( t ) (上一时刻的

    2024年01月25日
    浏览(43)
  • FPGA面试题【D触发器搭建4进制的计数器】

    目录 题目 核心思路 答案 用D触发器搭建4进制的计数器 本题目主要考察了数字电路基础中的计数器设计。 题目看上去很简单,要求实现一个4进制的计数器,但要用D触发器来搭建,这显然不会像写Verilog实现一样容易,所以我们要用数字电路中的传统方法来设计。 4进制计数器

    2024年02月04日
    浏览(35)
  • 【基础7】SQL事务及触发器

    目录 事务(TRANSACTION) 触发器 DML触发器 DDL触发器 触发器的练习 什么是事务 事务是作为单个逻辑工作单元执行的一系列操作,它包含了一组数据库操作命令 所有的操作命令作为一个整体一起向系统提交,要么都执行、要么不执行 事是SQL Server 中执行并发控制的基本单位,是

    2024年02月06日
    浏览(33)
  • mysql基础之触发器的简单使用

    1.建立学生信息表 2.建立学生补考信息表 3.建立触发器(一共建立了三个触发器) 4.插入数据 5.查询结果  查询s1表  查询s2表  

    2024年02月13日
    浏览(32)
  • [从零开始学习FPGA编程-32]:进阶篇 - 基本时序电路-D触发器(Verilog语言)

    作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客 本文网址:  目录 第1章 什么是时序电路 1.1 时序电路 1.2 什么是触发器

    2023年04月08日
    浏览(34)
  • VHDL语言基础-时序逻辑电路-触发器

    目录 触发器: D触发器: 触发器的VHDL描述: 触发器的仿真波形如下:​编辑 时钟边沿检测的三种方法: 方法一: 方法二: 方法三: 带有Q非的D触发器: 带有Q非的D触发器的描述: JK触发器: JK触发器: JK触发器的VHDL描述: T触发器: 真值表: T触发器: T触发器的VHDL描述

    2024年02月05日
    浏览(44)
  • 时序逻辑基础之D触发器&分频器

    D触发器原理如下 D触发器模拟波形图如下 由图可知Q值不仅与D有关,同时需要考虑到clk上升沿到来后有效 输入一个时钟信号,将输出的信号的时钟周期变为输入信号的时钟周期的N倍,我们将这种器件叫做N分频器。 我们的目的是生成一个N分频器,可以实现任意比例分频。需

    2024年02月06日
    浏览(37)
  • 硬件基础-时序逻辑电路-触发器画波形图问题解析

    本文章可能只适合软件工程专业对于计算机硬件基础。对于专业数电的朋友可能就是小巫见大巫了,笔者才疏学浅,仅供本专业基础课程同学的参考,如有错误希望大佬斧正! 先来看下下面这道题: 试画出图(a)所示电路中触发器输出Q1、Q2端的波形,CLK的波形如图(b)所

    2024年02月02日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包