PWM呼吸灯+流水灯设计

这篇具有很好参考价值的文章主要介绍了PWM呼吸灯+流水灯设计。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

完成任务: 在流水灯基础上加入pwm呼吸灯设计,关于pwm呼吸灯设计可以看博主上一篇博客PWM呼吸灯设计 ,开发板上灯每两秒进行一次切换,每一个的亮灭间隔为一秒。

代码参考:

module pwm_led_change(
    input   wire        clk     ,
    input   wire        rst_n   ,

    output  reg [3:0]   led
);

parameter TIME_US = 6'd49;//50*20ns 1us
parameter TIME_MS = 10'd999;//1000ns*1000 1ms
parameter TIME_S = 10'd999;//1000ms*1000 1s


reg [5:0] cnt_us;
reg [9:0] cnt_ms;
reg [9:0] cnt_s;
reg [1:0] cnt_2s;
reg [1:0]  state;//保存led灯状态
reg flag;//闪烁标志


wire add_cnt_us;//us计数器开始计数标志
wire end_cnt_us;//us计数器结束计数标志

wire add_cnt_ms;//ms计数器开始计数标志
wire end_cnt_ms;//ms计数器结束计数标志

wire add_cnt_s;
wire end_cnt_s;

wire end_cnt_2s;


//1us计时器
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        cnt_us <= 6'd0;
    end
    else if(add_cnt_us) begin
        if(end_cnt_us) begin
            cnt_us <= 6'd0;
        end
        else begin
            cnt_us <= cnt_us +1'd1;
        end
    end
    else begin
        cnt_us <= cnt_us;
    end
end

assign add_cnt_us = 1'b1;
assign end_cnt_us = add_cnt_us && (cnt_us == TIME_US);

//1ms计时器
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        cnt_ms <= 10'd0;
    end
    else if(add_cnt_ms) begin
        if(end_cnt_ms) begin
            cnt_ms <= 10'd0;
        end
        else begin
            cnt_ms <= cnt_ms + 1'd1;
        end
    end
    else begin
        cnt_ms <= cnt_ms;
    end
end

assign add_cnt_ms = end_cnt_us;
assign end_cnt_ms = add_cnt_ms && (cnt_ms == TIME_MS);

//1s计时器
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        cnt_s <= 10'd0;
    end
    else if(add_cnt_s) begin
        if(end_cnt_s) begin
            cnt_s <= 10'd0;
        end
        else begin
            cnt_s <= cnt_s + 1'd1;
        end
    end
    else begin
        cnt_s <= cnt_s;
    end
end

assign add_cnt_s = end_cnt_ms;
assign end_cnt_s = add_cnt_s && (cnt_s == TIME_S);

//2s计时
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        cnt_2s <= 2'd0;
    end
    else if(end_cnt_s)begin
        cnt_2s <= cnt_2s + 1'd1;
    end
    else if(cnt_2s == 2'd2) begin
        cnt_2s <= 2'd0;
    end
    else begin
        cnt_2s <= cnt_2s;
    end
end

assign end_cnt_2s = (cnt_2s == 2'd2);

//state状态
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        state <= 2'd0;
    end
    else if(end_cnt_2s) begin
        state <= state + 1'd1;
    end
    else begin
        state <= state;
    end
end

//flag值判断
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        flag <= 1'b0;
    end
    else if(end_cnt_s) begin
        flag <= ~flag ;
    end
    else begin
        flag <= flag;
    end
end

//led变化
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        led = 4'b0000;
    end
    else begin
        case(state)
            2'd0 : begin
                if(flag == 1'b1) begin//电亮
                    if(cnt_s > cnt_ms) begin
                        led[0] <= 1'b1;
                        led[3:1] <= 3'b000;
                    end
                    else begin
                        led[0] <= 1'b0;
                        led[3:1] <= 3'b000;
                    end
                end
                else begin//熄灭
                    if(cnt_s > cnt_ms) begin
                        led[0] <= 1'b1;
                        led[3:1] <= 3'b000;
                    end
                    else begin
                        led[0] <= 1'b1;
                        led[3:1] <= 3'b000;
                    end
                end
            end 

            2'd1 : begin
                if(flag == 1'b1) begin//电亮
                    if(cnt_s > cnt_ms) begin
                        led[1] <= 1'b1;
                        led[3:2] <= 2'b00;
                        led[0] <= 1'b0;
                    end
                    else begin
                        led[1] <= 1'b0;
                        led[3:2] <= 2'b00;
                        led[0] <= 1'b0;
                    end
                end
                else begin//熄灭
                    if(cnt_s > cnt_ms) begin
                        led[1] <= 1'b0;
                        led[3:2] <= 2'b00;
                        led[0] <= 1'b0;
                    end
                    else begin
                        led[1] <= 1'b1;
                        led[3:2] <= 2'b00;
                        led[0] <= 1'b0;
                    end
                end
            end

            2'd2 : begin
                if(flag == 1'b1) begin//电亮
                    if(cnt_s > cnt_ms) begin
                        led[2] <= 1'b1;
                        led[3] <= 1'b0;
                        led[1:0] <= 2'b0;

                    end
                    else begin
                        led[2] <= 1'b0;
                         led[3] <= 1'b0;
                        led[1:0] <= 2'b0;
                    end
                end
                else begin//熄灭
                    if(cnt_s > cnt_ms) begin
                        led[2] <= 1'b0;
                         led[3] <= 1'b0;
                        led[1:0] <= 2'b0;
                    end
                    else begin
                        led[2] <= 1'b1;
                        led[3] <= 1'b0;
                        led[1:0] <= 2'b0;
                    end
                end
            end

            2'd3 : begin
                if(flag == 1'b1) begin//电亮
                    if(cnt_s > cnt_ms) begin
                        led[3] <= 1'b1;
                        led[2:0] <= 3'b000;

                    end
                    else begin
                        led[3] <= 1'b0;
                        led[2:0] <= 3'b000;
                    end
                end
                else begin//熄灭
                    if(cnt_s > cnt_ms) begin
                        led[3] <= 1'b0;
                        led[2:0] <= 3'b000;
                    end
                    else begin
                        led[3] <= 1'b1;
                        led[2:0] <= 3'b000;
                    end
                end
            end
            default :led <= 4'b0000;
        endcase
    end
end

endmodule

测试文件:

`timescale 1ns/1ns
module pwm_led_change_tb();

    reg clk;
    reg rst_n;

    wire [3:0] led;

    parameter CYCLE = 20;
    parameter TIME_US = 5;
    parameter TIME_MS = 10;
    parameter TIME_S = 10;

    always #(CYCLE/2) clk = ~clk;

    initial begin
        clk = 1'b0;
        rst_n = 1'b0;
        #(CYCLE);
        rst_n = 1'b1;
        #((TIME_US + 1)*(TIME_MS + 1)*(TIME_S + 1)*CYCLE*2*4);
        $stop;
    end

pwm_led_change #(
        .TIME_US    (TIME_US),
        .TIME_MS    (TIME_MS),
        .TIME_S     (TIME_S)
    )u_pwm_led_change(
        .clk    (clk),
        .rst_n  (rst_n),

        .led    (led)

    );



endmodule 

我们通过modelsim仿真结果如下:
PWM呼吸灯+流水灯设计,fpga开发
结果展示:
PWM呼吸灯+流水灯设计,fpga开发文章来源地址https://www.toymoban.com/news/detail-596864.html

到了这里,关于PWM呼吸灯+流水灯设计的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA:Vivado流水灯设计详细流程(1)

    基于Vivado的FPGA设计开发的流程主要包括以下步骤: 1)创建工程; 2)创建源设计文件,包括Verilog文本、IP核、模块文件、网表输入等方式; 3)行为仿真(Behavioral Simulation),Vivado自带仿真器,也可以选择第三方仿真软件ModelSim等工具进行仿真; 4)综合(Synthesis):根据设

    2024年02月03日
    浏览(35)
  • 【FPGA第一天】Verilog基础与简易流水灯设计

    有关更多细节,请参考: verilog语法基础 1.1 新建文件夹用来存放项目文件,请注意你的文件夹路径不要有中文,不过系统自带的桌面和文档这些路径除外。 1.2 新建项目文件 prj 项目文件 rtl 寄存器转换级,用来描述各级寄存器,用来存放.v文件 tb 仿真文件 tcl tool command langu

    2024年02月01日
    浏览(58)
  • 51单片机用定时器控制流水灯,呼吸灯

    让LED从L1开始流到L8,(间隔1S,使用定时器)然后全灭LED,然后从L1开始进行呼吸灯状态。之后L1,L3,L5,L7点亮,然后L2,L4,L6,L8点亮。最后所有LED全亮 #include REGX52.H #includeINTRINS.H sbit LED = P2 ^ 0; unsigned int TOTOTO = 0; int number = 0; unsigned int Time; unsigned int i; //配置定时器 void Timer0Init(

    2024年02月15日
    浏览(27)
  • 5.2 FPGA:基于verilog的LED流水灯设计(多种方法)

    目录 设计目标:8个LED灯以每0.5s的速率进行循环闪烁 方法1:移位法实现 设计模块 仿真代码 实验结果  方法2:循环移位方法  设计模块 方法3:使用三八译码器实现流水灯 顶层模块 底层模块 当仿真时时间长,可以减小设计代码的计数次数,对分析移位功能没有影响。 设计

    2024年02月06日
    浏览(30)
  • FPGA开发之Vivado安装及HLS环境配置,并实现流水灯实例

    HLS(High-Level Synthesis)高层综合,就是将 C/C++的功能用 RTL 来实现,将 FPGA 的组件在一个软件环境中来开发,这个模块的功能验证在软件环境中来实现,无缝的将硬件仿真环境集合在一起,使用软件为中心的工具、报告以及优化设计,很容易的在 FPGA 传统的设计工具中生成 IP。

    2024年02月05日
    浏览(34)
  • fpga--流水灯

    fpga流水灯的设计 思路:外部时钟频率50mhz,若要实现每隔0.5s闪烁一次,则使用内部计数器计数到24999999拉高一个周期电平,当电平被拉高的时候,进行LED灯电平的设置,每次检测到高电平,就进行一位LED灯的设置,进行循环设置,就形成了流水灯的设计。 测试代码  

    2024年02月15日
    浏览(26)
  • 流水灯——FPGA

    环境: 1、Quartus18.0 2、vscode 3、板子型号:EP4CE6F17C8 要求: 每隔0.2s循环亮起LED灯 从LED0开始亮起到LED3又回到LED0循环往复。 流水灯 这里使用了拼接符对LED进行处理加上一个0.2s的计时器进行控制即可。 led流水灯

    2024年02月16日
    浏览(30)
  • FPGA学习——点亮流水灯

    在FPGA开发板中,一般板载LED默认高电平点亮,因此我们只需要将想要亮起的LED赋值为1即可。 本入门实验要求为每隔1s开发板上的LED轮流亮起,因此我们需要一个1s的计数器, 由于开发板晶振时钟为50MHz,因此我们计数50_000_000即为1s。 注意:为了后续方便仿真所以这里MAX设置

    2024年02月13日
    浏览(35)
  • 按键控制流水灯方向——FPGA

    环境: 1、Quartus18.0 2、vscode 3、板子型号:EP4CE6F17C8 要求: 按键1按下,流水灯从右开始向左开始流动,按键2按下,流水灯从左开始向右开始流动,按键3按下LED每隔1s进行亮灭,按键4按下LED常亮。 “自锁”是指开关能通过锁定机构保持某种状态(通或断),“轻触”是说明操

    2024年02月07日
    浏览(34)
  • FPGA学习——verilog实现流水灯

    学习芯片: EP4CE6F17C8 verilog代码如下: 配置引脚,查看芯片的指导书: 烧录运行结果如下: 如图我们可以看见开发板上四个led灯同时被电亮。 代码如下: 配置引脚,查看clock和key的引脚: 运行结果如下图: 此时可以看见四个led灯同时闪烁。 流水灯代码实现: 实验效果图如

    2024年02月16日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包