【XINLIX 原语】XILINX 原语的使用之 IBUFDS 差分转单端、OBUFDS 单端转差分

这篇具有很好参考价值的文章主要介绍了【XINLIX 原语】XILINX 原语的使用之 IBUFDS 差分转单端、OBUFDS 单端转差分。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

IBUFGDS

IBUFDS 介绍

IBUFDS 示意图

例化方式

OBUFDS

OBUFDS 介绍

OBUFDS 示意图

例化方式


 文章来源地址https://www.toymoban.com/news/detail-597178.html

在 XILINX 中有许多原语,常见的差分转单端 IBUFDS、单端转差分 OBUFDS。

IBUFGDS

IBUFDS 介绍

IBUFDS 即专用差分输入时钟缓冲器(Dedicated Differential Signaling Input Buffer with Selectable I/O Interface)

IBUFDS:在实验工程中如果需要将差分时钟转换成单端时钟作为全局时钟,需要添加例化此原语。

IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另外一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

IBUFDS 示意图

单端时钟转差分时钟,Vivado,FPGA,Xilinx,Vivado,FPGA,原语,差分时钟

Inputs

Outputs

I

IB

O

0

0

No Change

0

1

0

1

0

1

1

1

No Change

例化方式

将差分时钟的两路时钟分别接入 I 和 IB,而作为输出的单端时钟由 O 输出。

IBUFDS #(
      .DIFF_TERM("FALSE"),       // Differential Termination
      .IBUF_LOW_PWR("TRUE"),     // Low power="TRUE", Highest performance="FALSE" 
      .IOSTANDARD("DEFAULT")     // Specify the input I/O standard
   ) IBUFDS_inst (
      .O(O),  // Buffer output
      .I(I),  // Diff_p buffer input (connect directly to top-level port)
      .IB(IB) // Diff_n buffer input (connect directly to top-level port)
   );

OBUFDS

OBUFDS 介绍

OBUFDS:即差分输出时钟缓冲器(Differential Signaling Output Buffer with Selectable I/O Interface),将单端信号转换成差分信号。

OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另外一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

OBUFDS 示意图

单端时钟转差分时钟,Vivado,FPGA,Xilinx,Vivado,FPGA,原语,差分时钟

例化方式

将输入的单端时钟由 I 输入,差分时钟由 O 和 OB 输出。

OBUFDS #(
      .IOSTANDARD("DEFAULT"), // Specify the output I/O standard
      .SLEW("SLOW")           // Specify the output slew rate
   ) OBUFDS_inst (
      .O(O),     // Diff_p output (connect directly to top-level port)
      .OB(OB),   // Diff_n output (connect directly to top-level port)
      .I(I)      // Buffer input
   );

 

 

到了这里,关于【XINLIX 原语】XILINX 原语的使用之 IBUFDS 差分转单端、OBUFDS 单端转差分的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 差分转单端电路、仪表运放、4-20mA转0-5V

    一.差分转单端  二.仪表运放  三.4-20mA转0-5V---单运放形式    

    2024年02月17日
    浏览(42)
  • Xilinx原语的使用

    原语,英文名称primitive,是FPGA软件集成开发环境所提供的一系列底层逻辑功能单元。由于是底层逻辑功能单元,所以它们往往跟目标FPGA芯片以及芯片厂商紧密相关,因此不同厂商、不同器件的原语往往不能通用。当编译器对我们的HDL代码进行编译时,其中间环节的一些输出

    2024年02月10日
    浏览(72)
  • Xilinx IDDR与ODDR原语的使用

    如图所示,IDDR原语的输入输出包括D,CE,C,S,R,Q1,Q2,其中,D为输入的双倍速率的数据,即D在时钟的上升沿和下降沿都会发生切换,一个时钟周期发送2bit数据,CE为时钟使能信号,C为时钟信号,S,R为复位和置位信号,Q1,Q2为单倍速率的输出数据。 IDDR主要有三种工作模式,分别是

    2024年02月07日
    浏览(40)
  • Xilinx推荐使用ODDR原语输出高质量时钟

    按照Xilinx的推荐,在输出时钟时最好还是把ODDR加上 。这个测试用例没有体现出ODDR的优势,也许在资源使用较多、时钟频率更高时才能体现。 另外,这里只是输出了时钟,没有输出使用该时钟的数据。 很多人说时钟直接从BUFG输出到管脚会报错,必须加约束或者ODDR,目前我在

    2023年04月27日
    浏览(59)
  • Xilinx原语——IDDR与ODDR的使用(Ultrascale系列)

      对于各个系列的器件,IDDR与ODDR都存在一定的差别,在使用前需要根据自己的器件型号选择相应的IDDR与ODDR,下面以kintex ultrascale系列器件为例。   IDDR的输入输出引脚包括时钟输入C、时钟取反输入CB、数据输入D(在时钟信号C的上升沿与下降沿都发生变化)、异步复位

    2024年02月08日
    浏览(41)
  • ADC的单端、伪差分和差分输入

    单端输入即信号只有一个输入端口,很好理解。那么什么是伪差分和差分输入呢?         如上图左所示为伪差分输入,其实质上还是是单端输入,因为 VIN-上的信号并不被采样,保持和转换,而是做为共模抑制端用来消除 VIN+和地平面上的共模噪声,因此 VIN-上的电压输入范

    2024年02月17日
    浏览(30)
  • 单端与差分的接线方法

    本文想要说明单端和差分信号的接线方法。当然我们先要介绍一下单端和差分信号,然后在说明其接线方法。 一、单端及差分信号 单端信号是指输入信号由一个参考端和一个信号端构成,这个参考端一般就是地端。信号是通过计算信号端和地端的差值得到的。 差分信号则是

    2024年02月05日
    浏览(41)
  • 运放--单端信号转差分信号

     仿真信号:  备注: 1、黄色:Vin     绿色:U0+    洋红色:U0- 2、缺点:输入阻抗低   仿真信号: 备注:  1、黄色:Vin     绿色:U0+    洋红色:U0- 2、缺点:U0-和U0+存在一个转换延时差  仿真信号:  备注:  1、黄色:Vin     绿色:U0+    洋红色:U0- 2、优点:

    2024年02月11日
    浏览(28)
  • 差分输入和单端输入

    在FPGA开发过程中,使用各类芯片时,经常遇到差分输入和单端输入。 以ADC为例: 一端输入,一端接地。ADC采样值 = VIN - GND = VIN - 0。   两端都输入,二者互为反相信号。ADC采样值 = (VIN+) -  (VIN-)。两个线通常布在一起,当其中一方受干扰时,另一方也受到同样的干扰。这样

    2024年02月16日
    浏览(31)
  • 【单端S参数与差分S参数转化】

    对于单端信号来说,用单端S参数来描述其传输特性,如常见的2端口网络,其S参数包括S11(1端口回波损耗RL)、S21(插入损耗IL)、S12(插入损耗IL)、S22(2端口回波损耗RL)。其中无源网络中,S12=S21,故只需要知道S11(1端口回波损耗)、S21(插入损耗)、S22(2端口回波损耗

    2024年02月02日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包