【FPGA】基于C5的第一个SoC工程

这篇具有很好参考价值的文章主要介绍了【FPGA】基于C5的第一个SoC工程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。



前言

本文是在毕业实习期间学习FPGA的SoC开发板运行全连接神经网络实例手写体的总结。

声明:本文仅作记录和操作指南,涉及到的操作会尽量细致,但是由于文件过大不会分享文件,具体软件可以自行搜索相关资源。

SoC的Linux系统搭建

首先,既然提到了软件开发,那么我们就需要在FPGA的SoC开发板上搭建一个适合软件运行的Linux系统。但是这个过程过于繁琐,所以我们跳过这个步骤,可以直接使用别人做好的镜像文件:
【FPGA】基于C5的第一个SoC工程,fpga开发
如果你还是想要自己做一个镜像,可以参考大多数镜像制作手册。

那么接下来就要问了,这个镜像怎么使用呢?

首先,准备一个SD卡和读卡器。插上电脑,对硬盘格式化,如果硬盘分了区一定要合并。然后找到Win32DiskImager.exe文件,双击运行。

它的界面大概是这个样子:注意你的镜像文件不要复制在SD卡里了。
我们选择镜像所在的目录,开始烧录。
【FPGA】基于C5的第一个SoC工程,fpga开发
点击Write。

找到黄金工程并用Quartus软件打开,进行全编译。
这个黄金工程是这个样子:
【FPGA】基于C5的第一个SoC工程,fpga开发
【FPGA】基于C5的第一个SoC工程,fpga开发

全编译过后,
在该工程的输出文件夹内,找到sof_to_rbf.bat文件,双击,soc_system.rbf文件更新,修改日期会变成当前时间。

【FPGA】基于C5的第一个SoC工程,fpga开发
在上一级目录找到generate_hps_qsys_header.sh文件。
【FPGA】基于C5的第一个SoC工程,fpga开发
打开Intel FPGA Embedded Command Shell,执行以下操作(注意找到自己文件的位置)
注意:首先,你的Quartus务必是标准版的,
其次,如果在这个路径下:【FPGA】基于C5的第一个SoC工程,fpga开发
你没有这个文件,那么①你需要安装C语言运行环境,这很好理解,对吧,毕竟我们是要用C语言的HLS。②你还需要安装这几个软件【FPGA】基于C5的第一个SoC工程,fpga开发
【FPGA】基于C5的第一个SoC工程,fpga开发
安装过程很简单,这里我就不占用篇幅了,可以一直NEXT.

在这个位置输入cmd
【FPGA】基于C5的第一个SoC工程,fpga开发
然后
【FPGA】基于C5的第一个SoC工程,fpga开发
在这里我的运行结果是这样的;
【FPGA】基于C5的第一个SoC工程,fpga开发

然后,打开Intel FPGA嵌入式命令行窗口。

【FPGA】基于C5的第一个SoC工程,fpga开发
直接双击运行这个目录下的命令。

打开以后是这样的界面:
【FPGA】基于C5的第一个SoC工程,fpga开发

然后执行以下命令:请注意,我么们复制下来的路径需要改成/正斜杠/
【FPGA】基于C5的第一个SoC工程,fpga开发

【FPGA】基于C5的第一个SoC工程,fpga开发

执行成功以后可以得到这个文件,
【FPGA】基于C5的第一个SoC工程,fpga开发
将soc_system.rbf和soc_system.dtb文件复制粘贴到SD卡分出来的最后一个区,替换原来的文件。

写到这里,我对实验指导书还有很多不明白的地方,文章来源地址https://www.toymoban.com/news/detail-600091.html

到了这里,关于【FPGA】基于C5的第一个SoC工程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 年轻人的第一个数字钟!适用于FPGA的数字钟Verilog实现

    因为闲。 当然也不是很闲,初衷是因为本科时上过的数电实验课最后的大作业就是在 FPGA 上实现一个数字钟,这个作业当时困扰了我们班的诸多同学(难以置信,我们只是学材料的弱小可怜又无助{{{(_)}}}。最终,大部分同学在 拷贝一位学长的代码 一位学长的帮助下顺利通过

    2024年02月11日
    浏览(32)
  • 记一次基于FPGA的VGA显示四操作数计算器工程的开发流程——(1)从顶层设计说起

    首先值得说明的是,在这个项目几乎完成之际,笔者才愈发体会到了硬件思维和软件思维的云泥之别。不幸的是,在此项目的实现过程中,绝大部分代码的思维仍然是软件思维,因此该项目主要模块的设计部分可能并不能体现硬件操作的独到之处,不符合硬件工程师的基本设

    2024年02月04日
    浏览(31)
  • FPGA基于VCU的H265视频压缩,HDMI2.0输入,支持4K60帧,提供工程源码+开发板+技术支持

    FPGA基于VCU的H265视频压缩,支持4K60帧,提供工程源码+开发板+技术支持 Xilinx Zynq UltraScale+ ZUEV系列FPGA自带VCU视频编解码功能,VCU有以下特点: • 支持多达 32 个流的同步编码和解码 (最大聚合带宽为3840x2160 @ 60fps) • 低时延速率控制 • 灵活的速率控制:CBR、 VBR 和常量 QP •

    2024年04月13日
    浏览(52)
  • 基于FPGA的数字秒表设计(完整工程)

    目录 概述 设计功能 数字秒表设计的目的 模块仿真 设计代码 概述 该设计是用于体育比赛的数字秒表,基于FPGA在Quartus II 9.0sp2软件下应用VHDL语言编写程序,采用ALTRA公司CycloneII系列的EP2C8Q208芯片进行了计算机仿真,并给出了相应的仿真结果。本设计有效的克服了传统的数字秒表

    2024年02月05日
    浏览(25)
  • FPGA/SoC控制机械臂

    机器人技术处于工业 4.0、人工智能和边缘革命的前沿。让我们看看如何创建 FPGA 控制的机器人手臂。 机器人技术与人工智能和机器学习一起处于工业 4.0 和边缘革命的最前沿。 因此,我认为创建一个基础机器人手臂项目会很有趣,我们可以回过头来添加几个功能,例如: 逆

    2024年04月17日
    浏览(22)
  • 基于FPGA的UDP实现(包含源工程文件)

      前文通过FPGA实现了ARP和ICMP协议,ARP协议一般用来获取目的IP地址主机的MAC地址,ICMP通过回显请求和回显应答来判断以太网链路是否通畅,这两个协议都不是用来传输用户数据的。如果用户需要向PC端传输大量数据,那么就必须使用TCP或者UDP协议了。   网上关于UDP和T

    2024年02月20日
    浏览(30)
  • 三种芯片:ASIC、FPGA、SoC

    ASIC,全称Application-Specific Integrated Circuit,即 应用特定集成电路 。它是一种根据特定应用需求而设计和定制的集成电路。ASIC芯片由电子设计自动化软件(EDA)工具进行设计,采用定制的硅片制造工艺生产。 ASIC相对于通用的集成电路,具有更高的集成度、更低的功耗和更高的

    2024年04月26日
    浏览(25)
  • SOC FPGA之流水灯设计

            Altera Soc EDS开发套件的核心是Altera版ARM Development Studio 5(DS-5)工具包,为SoC器件提供了完整的嵌入式开发环境、FPGA自适应调试和对Altera工具的兼容。 首先下载破解器 然后进入cmd运行,进入到破解器所在文件夹  然后输入patcher.exe --license ?:licensepath 最后显示.dat文件即

    2024年02月14日
    浏览(28)
  • 基于FPGA的实用UDP设计(包含源工程文件)

      前文对ARP协议、ICMP协议、UDP协议分别做了讲解,并且通过FPGA实现了三种协议,最终实现的UDP协议工程中也包含了ARP和ICMP协议,对应的总体框架如图所示。 图1 基于FPGA的UDP协议实现   尽管上述模块包含3种协议的接收和发送,但实际上都是通过一个网口收发数据,所以

    2024年02月22日
    浏览(32)
  • FPGA验证学习(五):SoC的总线架构

    SoC的发展离不开应用领域的需求牵引。在根据需求进行片上系统设计时,不仅要考虑SoC本身,而且还要考虑SoC应用产品的需求,重点关注特定IP获取和系统总体结构(性能、功耗、成本、可靠性、适用性)的评估。 为了提高开发模块的重复利用率,降低开发成本, 用户采用

    2024年02月07日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包