用verilog 实现8bit数据的并串转换

这篇具有很好参考价值的文章主要介绍了用verilog 实现8bit数据的并串转换。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

verilog 八位并串转换代码

输入信号为8bit并行信号
该程序实现每8个时钟周期,便把收到的8bit并行信号拆解成串行信号并输出,等下8个时钟周期过后再转换下一个并行信号。

并串转换代码

       module b2c(input clk,
       input rst_n,
       input [7:0] data_i,
       output reg data_o);
       reg [2:0] cnt;
       always@(posedge clk or negedge rst_n)begin
            if(!rst_n)begin
                data_o <= 0;
                cnt <= 3'b000;
            end
            else begin
                if(cnt < 8)begin
                    data_o <= data_i[7-cnt];
                    cnt <= cnt + 1;
                end
                else begin
                    data_o <= data_i[7];
                    cnt <= 3'b001;
                end
            end

        end
        endmodule

时钟生成模块

`timescale 1ns / 1ps

 
 
module sim_s2f_cdc(
 
    );
	
	reg clk;
	reg rst_n;
	reg [7:0]data_i;
	wire data_o;

	
	initial begin
		clk = 0;
		forever
		#2 clk = ~ clk;
	end
	

	
	initial begin
		rst_n = 0;
		data_i = 0;
		
		#10
		rst_n = 1;
		
		#32
		@(posedge clk)
		data_i = 8'b10101010;
		
		#32
		@(posedge clk)
		data_i = 8'b11101110;
		
		#32
		@(posedge clk)
        data_i = 8'b10111011;
    
	end
	
	b2c inst_slow2fast(
	.clk(clk),
	.rst_n(rst_n),
	.data_i(data_i),
    .data_o(data_o)	
	);
	
endmodule 	

仿真图如下:
用verilog编写8位并串转换程序,fpga开发文章来源地址https://www.toymoban.com/news/detail-612814.html

到了这里,关于用verilog 实现8bit数据的并串转换的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • LLM - Model Load_in_8bit For LLaMA

      LLM 量化是将大语言模型进行压缩和优化以减少其计算和存储需求的过程。 博主在使用 LLaMA-33B 时尝试使用量化加载模型,用传统 API 参数控制量化失败,改用其他依赖尝试成功。这里先铺下结论: ◆ Load_in_8bit ✔️ ◆ Load_in_4bit ❌ 直接 load_in_8bit=True 报错: 下载 Accelerate 继续

    2024年02月04日
    浏览(38)
  • ESP32在Arduino框架下采用SPI或8bit并口方式使用LVGL(v8.3)

    小相机,按一下能拍照,并将照片保存在sd卡中。 使用的时VSCode+PlatformIO进行开发(强力推荐,用了就回不去了),当然也可以使用ArduinoIDE进行开发。 具体怎么使用上述软件,网上有很多答案,可以自行查找。 ESP-IDF移植教程:点击这里 使用到的库: TFT_eSPI 和 lvgl 注: 8bi

    2024年02月05日
    浏览(53)
  • Verilog实现32位到8位数据位宽转换

    Verilog实现32位到8位数据位宽转换 数据位宽的转换在数字电路设计中是一项必要的任务。在FPGA中,通过Verilog语言实现数据位宽转换可以有效地减小硬件资源的占用,提高系统效率。本篇文章将介绍如何使用Verilog语言实现32位到8位的数据位宽转换。 首先,我们需要定义输入和

    2024年02月10日
    浏览(41)
  • (45)Verilog实现数据位宽转换【8位-32位】

    1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog实现数据位宽转换【8位-32位】 5)结语 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有

    2024年02月08日
    浏览(46)
  • 【FGPA】Verilog:移位寄存器 | 环形计数器 | 4bit移位寄存器的实现 | 4bit环形计数器的实现

      目录 Ⅰ. 理论部分 0x00 移位寄存器(Shift Register) 0x01 环形计数器(Ring Counter)

    2024年02月05日
    浏览(41)
  • 【FPGA实战】Verilog数据位宽转换实现【64位-8位】

    【FPGA实战】Verilog数据位宽转换实现【64位-8位】 数据位宽转换是FPGA设计中常见的需求,本文将介绍如何使用Verilog语言实现将64位数据转换为8位数据的操作。 首先,我们需要了解一些基础知识。在Verilog中,数据位宽可以用方括号来表示,例如:[63:0]表示一个64位的数据,[7

    2024年02月08日
    浏览(38)
  • Verilog语法学习——LV10_使用函数实现数据大小端转换

    题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 在数字芯片设计中,经常把实现特定功能的模块编写成函数,在需要的时候再在主模块中调用,以提高代码的复用性和提高设计的层次,分别后续的

    2024年02月15日
    浏览(46)
  • 【FPGA】Verilog:BCD 加法器的实现 | BCD 运算 | Single-level 16 bit 超前进位加法器 | 2-level 16-bit 超前进位加法器

    0x00 BCD 运算 在 BCD 中,使用4位值作为操作数,但由于只表示 0 到 9 的数字,因此只使用 0000 到 1001 的二进制数,而不使用 1010 到 1111 的二进制数(don\\\'t care)。 因此,不能使用常规的 2\\\'complement 运算来计算,需要额外的处理:如果 4 位二进制数的运算结果在 1010 到 1111 的范围

    2024年02月05日
    浏览(45)
  • STM32读取24位模数转换(24bit ADC)芯片HX711数据

    HX711是一款国产低成本24位ADC芯片,常用于与称重传感器配合实现体重计的应用。这里介绍STM32读取HX711的电路和代码实现。 HX711的内部原理如下图所示: 市面上有普通和带屏蔽的两种模块: STM32可直接与HX711进行连接,选择2个具有FT(5V耐压)的管脚,将其中对应时钟输出的管

    2023年04月08日
    浏览(72)
  • STM32读取24位模数转换(24bit ADC)芯片TM7711数据

    TM7711是一款国产低成本24位ADC芯片,常用于与称重传感器配合实现体重计的应用。这里介绍STM32读取TM7711的电路和代码实现。TM7711与HX710A是兼容的芯片,而与HX711在功能上有所不同: HX711具有双通道信号采样,三种放大倍数,支持设置模拟电压AVDD(也是内部参考电压)输出给外

    2023年04月08日
    浏览(71)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包