FPGA篇 ila使用例子

这篇具有很好参考价值的文章主要介绍了FPGA篇 ila使用例子。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

ila使用范例(小梅哥例程):03 使用Debug标记创建ILA调试环境_哔哩哔哩_bilibili

方法一:使用IP核创建ila调试环境

fpgaila,fpga开发

fpgaila,fpga开发

 fpgaila,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-612874.html

点击ip catalog 搜索ila进行配置,这里设置了两组探针。 一个探针探测key_state信号,另一个探针探测cnt计数信号。

fpgaila,fpga开发

 在ip source中的ila_0下可以找到ila_0.veo文件,里面有模板,直接拷贝到顶层

fpgaila,fpga开发

拷贝到顶层,并在信号进行连接,key_state信号在顶层,cnt信号在bin_counter模块下,所以用点号来进行索引。 

方法二:使用debug标记创建ila调试环境

fpgaila,fpga开发

先把要抓的信号前加上(*mark debug="true"*) ,这里有三个:key_state,clk_out,cnt. 

下一步点击综合,并open synthesized design 

fpgaila,fpga开发

在debug栏下可以看到3个信号未进行unassigned debug nets 

fpgaila,fpga开发

这里选择刚刚创建的ila IP核(选择和前面创建的ila ip核一致,data_depth为4096,点击ok

 fpgaila,fpga开发

 fpgaila,fpga开发

 发现只有两组探针,所以要点击create debug port,增加一组探针,并点击assign to debug port进行连接

fpgaila,fpga开发

 fpgaila,fpga开发

 

上板之后可以通过点击hw_ila_1来打开ila调试窗口

fpgaila,fpga开发

 fpgaila,fpga开发fpgaila,fpga开发

 

 fpgaila,fpga开发fpgaila,fpga开发

 

 

 

 

到了这里,关于FPGA篇 ila使用例子的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(49)
  • Mac 开发 Tang Nano FPGA 指南(使用终端和使用 VS Code 和插件,适用所有 Gowin FPGA)

    最近收到了一个 Tang nano 9K FPGA开发板,就想借此机会研究一下。 官方文档里介绍如果想使用高云的 FPGA,就需要使用 GOWIN IDE,但是需要申请 license 提交一堆资料,我是别人送的就不太方便让别人弄。加上 IDE 其实并不是很适合学习和投入生产,因为 IDE 忽略了很多细节,以及

    2024年02月12日
    浏览(51)
  • 【FPGA】xilinx的开发软件vitis使用简介

           Xilinx的开发软件Vitis是一款全新的开发工具套件,它支持多种编程语言如C++、OpenCL、Python等,以及多种硬件平台,包括Xilinx的FPGA和ACAP(Adaptive Compute Acceleration Platform)。这使得它具有极高的灵活性,可以应对不同类型的开发需求,包括数据中心、云端和边缘智能应用

    2024年02月04日
    浏览(38)
  • FPGA开发] 使用Verilog实现一个简单的计数器

    计数器是数字电路中常见的元件之一,它能够按照一定的规律进行计数。在FPGA开发中,我们可以使用硬件描述语言Verilog来实现一个简单的计数器。本文将为您详细介绍如何使用Verilog编写一个基于FPGA的计数器,并提供相应的源代码。 首先,我们需要定义计数器的功能和规格

    2024年02月03日
    浏览(45)
  • FPGA开发 -- Vivado使用VSCode编译带图文(安装 语法校验 自动缩进 )

    目录 一 前言 Vivado 版本 Vivado 2018.03 芯片 ZYNQ-XC7Z010 VSCode 安装最新版本就行 二 Vivado 设置编译方式 Tools  Text Editor 设置 VSCode 地址 ​编辑三 VSCode 插件安装 1. Verilog HDL/SystemVerilog  打开vscode,打开拓展界面  环境变量设置 2. SystemVerilog ​编辑 设置为默认缩进软件(如图所示)

    2024年04月10日
    浏览(35)
  • NES(FC) FPGA游戏卡开发笔记(3)---- AGM AG32VF407开发环境的使用

    AG32VF407是内带2K FPGA逻辑单元的MCU芯片。虽然目前不考虑这款FPGA芯片,因为是开发笔记,就记录一下我的学习使用过程。使用这个IDE就是想了解一下这块MCU到底如何使用的。 http://www.tcx-micro.com/doc_25499579.html提供了AGM MCU的开发软件下载。需要安装python3.8版本以上(因为AGM boar

    2024年02月16日
    浏览(41)
  • 紫光同创 FPGA 开发跳坑指南(四)—— DDR3 控制器 IP 的使用

    DDR3 是一种大容量的存储器件,采用了预取技术和双边沿采样技术,以实现高速数据存储与读取,在视频处理中可以用来缓存 1 帧或多帧图像。 目录 一、紫光 DDR3 IP 的安装 二、紫光 DDR3 IP 的配置 三、DDR3 IP 的使用 3.1 DDR3 写操作 3.2 DDR3 读操作         在 Pango Design Suit 中,选

    2024年01月25日
    浏览(40)
  • Vivado开发FPGA使用流程、教程 verilog(建立工程、编译文件到最终烧录的全流程)

    目录 一、概述 二、工程创建 三、添加设计文件并编译 四、线上仿真 五、布局布线 六、生成比特流文件 七、烧录 一、概述 vivado开发FPGA流程分为创建工程、添加设计文件、编译、线上仿真、布局布线(添加约束文件)、生成比特流文件、烧录等步骤,下文将按照这些步骤讲

    2024年02月09日
    浏览(25)
  • 使用EGO1的FPGA开发板的基于蓝牙的点阵显示系统设计与实现

    目录 一、项目目标 1.设计目标 2.技术指标 二、项目分析 1.需求分析 2.实验原理 三、项目设计 1.系统结构设计 1.1技术方案 1.2设计要点 1.3系统结构图 1.4硬件连接图 1.5系统硬件配置设计(硬件模块介绍) 1.5.1 8×8点阵块LG7088BH 1.5.2 四块点阵级联电路 1.6系统软件配置设计(软件模块

    2024年01月16日
    浏览(30)
  • Fpga开发笔记(二):高云FPGA发开发软件Gowin和高云fpga基本开发过程

    若该文为原创文章,转载请注明原文出处 本文章博客地址:https://hpzwl.blog.csdn.net/article/details/135620590 红胖子网络科技博文大全:开发技术集合(包含Qt实用技术、树莓派、三维、OpenCV、OpenGL、ffmpeg、OSG、单片机、软硬结合等等)持续更新中… 上一篇:《Fpga开发笔记(一):

    2024年01月16日
    浏览(55)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包