FPGA设计Verilog基础之Verilog全局变量和局部变量定义

这篇具有很好参考价值的文章主要介绍了FPGA设计Verilog基础之Verilog全局变量和局部变量定义。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

注意:后续技术分享,第一时间更新,以及更多更及时的技术资讯和学习技术资料,将在公众号CTO Plus发布,请关注公众号:CTO Plus

verilog全局变量,FPGA进阶,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-614329.html

在Verilog中,变量可以分为全局变量和局部变量两种类型。全局变量在整个模块中都可以使用,而局部变量只能在某个特定的代码块中使用。本文将详细介绍Verilog中全局变量和局部变量的定义方法。

verilog全局变量,FPGA进阶,fpga开发

原文链接:

https://blog.csdn.net/zhouruifu2015/article/details/130694546

全局变量的定义

全局变量在整个模块中都可以使用,可以在模块的任何地方进行定义。在Verilog中,全局变量的定义通常放在模块的头部,如下所示:

module my_module(

    input clk,

    input rst,

    input [7:0] data_in,

    output reg [7:0] data_out

);

reg [7:0] count = 0;

reg [7:0] sum = 0;

//模块的其他代码

endmodule

在上面的示例中,我们定义了两个全局变量count和sum,它们的类型都是reg(寄存器),位宽都是8位。我们还给count变量赋了一个初始值0,这是可选的。

需要注意的是,在模块中定义的变量默认情况下都是全局变量,可以在模块的任何地方使用。但是,如果在某个代码块中定义了一个同名的局部变量,那么这个局部变量会覆盖全局变量,直到该代码块执行完毕。

在FPGA设计中,`define是一种预处理指令,用于定义常量或宏。与局部变量不同,`define定义的常量可以在整个项目中使用,包括不同的模块。

在Verilog中,`define的语法如下:

`define name value

其中,name是常量或宏的名称,value是常量或宏的值。例如,定义一个常量PI,值为3.14159,可以使用以下语句:

`define PI 3.14159

定义好常量或宏后,可以在整个项目的任何地方使用它,例如:

assign out = in * `PI;

作用域:`define 从编译器读到这条指令开始到编译结束都有效,或者遇到`undef命令使之失效。

局部变量的定义

FPGA局部变量定义(parameter)是一种在FPGA设计中定义常量的方法。它可以用来定义数字、字符串、布尔值等常量,这些常量可以在设计中被多次使用而不需要重复定义。局部变量定义可以在模块的内部使用,但不能在模块之间共享。

局部变量只能在某个特定的代码块中使用,包括always块、initial块、task和function等。在Verilog中,局部变量的定义通常放在代码块的开头,如下所示:

always @(posedge clk)

begin

    reg [7:0] data_temp;

    //代码块的其他代码

end

在上面的示例中,我们在一个always块中定义了一个局部变量data_temp,它的类型是reg(寄存器),位宽是8位。需要注意的是,局部变量的定义只在当前代码块中有效,执行完该代码块后,这个变量就会被销毁。

除了always块,我们还可以在initial块、task和function等代码块中定义局部变量。下面是一个在task中定义局部变量的示例:

task my_task;

    input [7:0] data_in;

    output [7:0] data_out;

    reg [7:0] sum = 0;

    //局部变量sum的作用域只在my_task代码块中有效

    for (int i = 0; i < 8; i++)

    begin

        sum = sum + data_in[i];

    end

    data_out = sum;

endtask

在上面的示例中,我们定义了一个名为my_task的task,并在其中定义了一个局部变量sum,它的类型是reg(寄存器),位宽是8位。在for循环中,我们使用sum变量计算了输入数据data_in中所有位的和,并将结果赋给输出数据data_out。

在Verilog中,局部变量定义的语法如下:

parameter [size-1:0] name = value;

其中,size是变量的位宽,name是变量的名称,value是变量的初始值。例如,定义一个8位宽的常量,名称为MY_CONST,初始值为8'hFF,可以使用以下语句:

parameter [7:0] MY_CONST = 8'hFF;

定义好局部变量后,可以在模块的任何地方使用它,例如:

assign out = MY_CONST & in;

1、局部变量定义(parameter)

声明:

parameter xx = 8; (注意有等号,且后面有分号)

使用:xx

作用域:parameter 作用于声明的那个文件。

另外parameter可以用作例化时的参数传递。

定义在整个项目都有效的变量

如果需要定义在整个项目都有效的变量,可以使用Verilog的全局声明语法。全局声明语法可以在模块之外定义变量,这些变量可以在整个项目中使用。

在Verilog中,全局声明的语法如下:

reg [size-1:0] name;

其中,size是变量的位宽,name是变量的名称。例如,定义一个8位宽的变量,名称为MY_VAR,可以使用以下语句:

reg [7:0] MY_VAR;

定义好变量后,可以在整个项目的任何地方使用它,例如:

always @(posedge clk) begin

    MY_VAR <= in;

end

如果想让parameter或`define作用于整个项目,可以将如下声明写于单独文件,并用`include让每个文件都包含声明文件:

`ifndef xx

`define xx yy // or parameter xx = yy;

`endif

`define也可以写在编译器最先编译的文件顶部。通常编译器都可以定义编译顺序,或者从最底层模块开始编译。因此写在最底层就可以了。

总之,在Verilog中,全局变量和局部变量都是非常重要的概念。全局变量可以在整个模块中使用,而局部变量只能在某个特定的代码块中使用。在定义变量时,我们需要根据实际需求选择合适的类型和作用域。


微信公众号搜索【CTO Plus】关注后,获取更多,我们一起学习交流。


关于Articulate“做一个知识和技术的搬运工。做一个终身学习的爱好者。做一个有深度和广度的技术圈。”一直以来都想把专业领域的技https://mp.weixin.qq.com/s/0yqGBPbOI6QxHqK17WxU8Q

 

到了这里,关于FPGA设计Verilog基础之Verilog全局变量和局部变量定义的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA】Verilog设计入门——时序模块及其Verilog表述

    目录 1.边沿触发型触发器及其Verilog表述 2.电平触发型锁存器及其Verilog表述  3.含异步复位/时钟使能型触发器及其Verilog表述 4.同步复位型触发器及其Verilog表述  5.异步复位型锁存器及其Verilog表述 6.Verilog的时钟过程表述的特点和规律   7.异步时序模块的Verilog表述  8.4位二进制

    2024年02月07日
    浏览(31)
  • 【FPGA Verilog开发实战指南】初识Verilog HDL-基础语法

    就是用代码来描述硬件结构 语言有VHDL与Verilog HDL Verilog HDL 是从C语言来的,学的快 ###例子 也叫保留字,一般是小写 module 表示模块的开始 endmodule 模块的结束 模块名 一般与.v文件的名字一致 输入信号 input 输出信号 output 既做输入也做输出 inout 需要一些变量和参数对输

    2024年02月21日
    浏览(33)
  • FPGA | Verilog基础语法

    菜鸟教程连接 举例(\\\"//\\\"符号后的内容为注释文字): initial $dumpfile (“myfile.dump”); //指定VCD文件的名字为myfile.dump,仿真信息将记录到此文件 可以指定某一模块层次上的所有信号,也可以单独指定某一个信号。 典型语法为$dumpvar(level, module_name); 参数level为一个整数,用于指

    2024年02月05日
    浏览(39)
  • FPGA基础概念_Verilog

     一、文件尾缀含义等常识 sof文件时编译(分析、综合、布线、生成、时序)过程中生成的一个文件,可通过Jtag下载到FPGA的SRAM中去执行. pof文件生成过程同上,但不同之处在于不能直接下载到FPGA的SRAM中,需要通过ASP端口直接下载到FPGA的配置芯片中,配置芯片一般时串行F

    2024年02月03日
    浏览(30)
  • verilog基础:数据类型定义

    verilog中的数据类型大体可以分成net types和variable types。在verilog中的数据表示如下形式: bits\\\'representationvalue 比如说4\\\'b0001表示这个数据定义为四位宽,二进制类型,除此之外还可以定义为16进制4\\\'h1,八进制4\\\'01,十进制4\\\'d1,除了这些常见数据之外,verilog还有两个特殊变量:\\\'x

    2024年02月11日
    浏览(25)
  • 避免latch verilog FPGA 基础练习2

    发现问题,用技术解决问题。兴趣是自己的源动力 ! 本文由如何避免latch的问题场景,来更详细的描述verilog中的组合逻辑电路和时序逻辑电路等等理论知识。由latch这个问题入手来阐述更多理论知识,有助于更好的理解和记忆。 Latch其实就是锁存器,是一种在异步电路系统中

    2024年02月04日
    浏览(28)
  • FPGA(Verilog)时钟无缝切换设计与验证

    时钟切换基本模型,本文围绕“ 基本组合电路切换、解决前毛刺切换、解决后毛刺切换 ”三方面完成时钟无缝切换。 组合逻辑切换,本质就是二选一多路器 如下图,CLK_SEL 0与1分别控制时钟CLK_A CLK_B输出。 组合逻辑输出只跟当前输入状态有关,CLK_SEL异步不可控导致输出毛刺

    2023年04月10日
    浏览(30)
  • FPGA的Verilog设计(二)——异步FIFO

    阅读本文前,建议先阅读下面几篇文章: 同步FIFO 二进制转格雷码的实现   在上篇文章同步FIFO中简要介绍了FIFO的基本概念以及同步FIFO的实现。本篇文章将重点介绍异步FIFO的工作原理以及硬件实现。   异步FIFO的读写时钟不同,FIFO的读写需要进行异步处理, 异步FIFO常用

    2024年02月04日
    浏览(36)
  • 基于FPGA的CAN通讯verilog代码设计

    FPAGA本篇文章参考github网站的开源项目can-FPGA-master编写改进 在调试过程中,发现该项目无法在quartus pro13.0的环境下运行通过,代码存在错误,并且对于EP4系列的芯片来说有太多的IO口,无法在烧录,所以笔者对此进行了改进。  can_top模块 can_tx传输数据模块 can_rx接收数据模块

    2024年02月08日
    浏览(33)
  • FPGA verilog设计的MODBUS CRC算法

    已经测试通过。 `timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 20:14:12 05/18/2023 // Design Name: // Module Name: Modbus_CRC // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // // module Modbus_CRC( input clk, input rst

    2024年02月06日
    浏览(34)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包