Verilog语法学习——LV6_多功能数据处理器

这篇具有很好参考价值的文章主要介绍了Verilog语法学习——LV6_多功能数据处理器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

LV6_多功能数据处理器

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;当select信号为1,输出b;当select信号为2,输出a+b;当select信号为3,输出a-b.
接口信号图如下:

Verilog语法学习——LV6_多功能数据处理器,Verilog语法学习,学习,fpga开发

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的有符号数

select:2bit位宽的无符号数

输出描述:

c:9bit位宽的有符号数文章来源地址https://www.toymoban.com/news/detail-617843.html

代码

`timescale 1ns/1ns
module data_select(
	input clk,
	input rst_n,
	input signed[7:0]a,
	input signed[7:0]b,
	input [1:0]select,
	output reg signed [8:0]c
);
//*************code***********//
/*代码思路:case(select)来执行不同的输出
select = 0: 输出a
select = 1: 输出b
select = 2: 输出a+b	
select = 3: 输出a-b*/

	always @(posedge clk or negedge rst_n) begin
		if(!rst_n)
			c <= 0;
		else begin
			case (select)
				2'd0: begin 
					c <= a;
				end
				2'd1: begin 
					c <= b;
				end
				2'd2: begin 
					c <= a + b;
				end
				2'd3: begin 
					c <= a - b;
				end
			endcase
		end
	end
	
//*************code***********//
endmodule

到了这里,关于Verilog语法学习——LV6_多功能数据处理器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包赞助服务器费用

相关文章

  • 大数据毕设分享 多功能 Web 应用渗透测试系统(源码+论文)

    大数据毕设分享 多功能 Web 应用渗透测试系统(源码+论文)

    # 0 简介 今天学长向大家介绍适合作为毕设的项目: 毕设分享 多功能 Web 应用渗透测试系统(源码+论文) 项目获取: https://gitee.com/sinonfin/algorithm-sharing 系统简介 本项目为 多功能 Web 应用渗透测试系统 ,包含 漏洞检测、目录识别、端口扫描、指纹识别、域名探测、旁站探测

    2024年02月20日
    浏览(6)
  • C语言数据结构-----顺序表(多功能动态顺序表的代码实现)

    C语言数据结构-----顺序表(多功能动态顺序表的代码实现)

    本篇讲述了顺序表的相关知识,以及动态顺序表的代码实现。 顺序表和链表一般情况下都会叫他们线性表。 线性表(linear list)是n个具有相同特性的数据元素的有限序列。线性表是一种在实际中广泛使 用的数据结构,常见的线性表:顺序表、链表、栈、队列、字符串… 线性

    2024年02月07日
    浏览(9)
  • Verilog语法学习——LV4_移位运算与乘法

    Verilog语法学习——LV4_移位运算与乘法

    题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 题目描述: 已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效)

    2024年02月15日
    浏览(8)
  • Verilog语法学习——LV5_位拆分与运算

    Verilog语法学习——LV5_位拆分与运算

    题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 题目描述: 现在输入了一个压缩的16位数据,其实际上包含了四个数据,[3:0],[7:4],[11:8],[15:12] 现在请按照sel选择输出四个数据的相加结果,并输出valid_out信号

    2024年02月15日
    浏览(8)
  • 大数据毕设分享(含算法) 多功能 Web 应用渗透测试系统(源码+论文)

    大数据毕设分享(含算法) 多功能 Web 应用渗透测试系统(源码+论文)

    # 0 简介 今天学长向大家介绍适合作为毕设的项目: 毕设分享 多功能 Web 应用渗透测试系统(源码+论文) 项目获取: https://gitee.com/assistant-a/project-sharing 系统简介 本项目为 多功能 Web 应用渗透测试系统 ,包含 漏洞检测、目录识别、端口扫描、指纹识别、域名探测、旁站探测

    2024年02月21日
    浏览(12)
  • HNU工训中心STC-B学习板大作业-基于OLED模块的多功能MP4

    HNU工训中心STC-B学习板大作业-基于OLED模块的多功能MP4

    主要功能在下面这张流程图里(直接用报告的流程图了) 下面展示一下效果(数码管的“welcome”比较抽象) ps. 后面新加的功能(我觉得MP4应该还具有看小说的功能,但是小说字太多了放不下,于是添加了一个可以背诵名人名言的功能) 四个功能的切换 听歌(这张动图有时

    2024年02月10日
    浏览(6)
  • Verilog语法学习——LV7_求两个数的差值

    Verilog语法学习——LV7_求两个数的差值

    题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 根据输入信号a,b的大小关系,求解两个数的差值:输入信号a,b为8bit位宽的无符号数。如果ab,则输出a-b,如果a≤b,则输出b-a。 接口信号图如下:

    2024年02月15日
    浏览(11)
  • 计算机视觉与深度学习 | Visual ChatGPT:微软开源视觉(图文)聊天系统——图像生成、迁移学习、边缘检测、颜色渲染等多功能(附代码下载链接)

    计算机视觉与深度学习 | Visual ChatGPT:微软开源视觉(图文)聊天系统——图像生成、迁移学习、边缘检测、颜色渲染等多功能(附代码下载链接)

    ===================================================== github:https://github.com/MichaelBeechan CSDN:https://blog.csdn.net/u011344545 =====================================================

    2024年02月06日
    浏览(10)
  • Verilog语法学习——LV9_使用子模块实现三输入数的大小比较

    Verilog语法学习——LV9_使用子模块实现三输入数的大小比较

    题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 在数字芯片设计中,通常把完成特定功能且相对独立的代码编写成子模块,在需要的时候再在主模块中例化使用,以提高代码的可复用性和设计的层

    2024年02月15日
    浏览(10)
  • 消防多功能智慧灯杆

    消防多功能智慧灯杆

    消防多功能智慧灯杆是一种基于叁仟智慧杆并应用了智能技术和物联网技术的新一代消防基础设备。它能够实时监测消防设备的状态和消防物资的储备情况,并能够自动报警和调度消防人员。消防多功能智慧灯杆通常配备有各类消防设备,如灭火器、消防栓、AED、防护服等,

    2024年01月25日
    浏览(14)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包