Exams/ece241 2013 q12

这篇具有很好参考价值的文章主要介绍了Exams/ece241 2013 q12。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

In this question, you will design a circuit for an 8x1 memory, where writing to the memory is accomplished by shifting-in bits, and reading is "random access", as in a typical RAM. You will then use the circuit to realize a 3-input logic function.

First, create an 8-bit shift register with 8 D-type flip-flops. Label the flip-flop outputs from Q[0]...Q[7]. The shift register input should be called S, which feeds the input of Q[0] (MSB is shifted in first). The enable input controls whether to shift. Then, extend the circuit to have 3 additional inputs A,B,C and an output Z. The circuit's behaviour should be as follows: when ABC is 000, Z=Q[0], when ABC is 001, Z=Q[1], and so on. Your circuit should contain ONLY the 8-bit shift register, and multiplexers. (Aside: this circuit is called a 3-input look-up-table (LUT)).文章来源地址https://www.toymoban.com/news/detail-618552.html

module top_module (
    input clk,
    input enable,
    input S,
    input A, B, C,
    output Z ); 

    reg [7:0] Q;
    always@ (posedge clk)
       if(enable)
           Q <= {Q[6:0],S};
        
        
    always@ (*)
            case({A, B, C})
                3'b000: Z <= Q[0];
                3'b001: Z <= Q[1];
                3'b010:Z <= Q[2];
                3'b011:Z <= Q[3];
                3'b100:Z <= Q[4];
                3'b101:Z <= Q[5];
                3'b110:Z <= Q[6];
                3'b111:Z <= Q[7];
                default: Z <= Q[0];
            endcase    
endmodule

到了这里,关于Exams/ece241 2013 q12的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • verilog1 HDLbits:12 hour clock(12小时计时器)

    HDL bit -12 hour clock题目地址 翻译:创建一组适合用作 12 小时制的计数器。计数器由一个快速运行的 clk 计时,每当时钟增加(即每秒一次)时,ena 就会有一个脉冲。 reset 将时钟重置为凌晨 12:00。pm 为 0 表示 AM,1 表示 PM。 hh、mm 和 ss 是两个 BCD(二进制编码十进制)数字,分别表示

    2024年02月19日
    浏览(28)
  • [HDLBits] Exams/m2014 q3

    Consider the function  f  shown in the Karnaugh map below. Implement this function.  d  is don\\\'t-care, which means you may choose to output whatever value is convenient.

    2024年02月13日
    浏览(24)
  • [HDLBits] Exams/m2014 q4c

    Implement the following circuit:  

    2024年02月12日
    浏览(21)
  • 【软考】系统架构设计师-历年论文题目(2013-2022)

    2009-2022年系统架构设计师历年论文题目如下: 时间 题目 2009 1.论基于DSSA的软件架构设计与应用; 2.论信息系统建模方法; 3.论基于REST服务的Web应用系统设计; 4.论软件可靠性设计与应用 2010 1.论软件的静态演化和动态演化及其应用; 2.论数据挖掘技术的应用; 3.论大规模分

    2024年02月09日
    浏览(46)
  • HDLbits---Verilog Language---Procedures

    2024年02月13日
    浏览(45)
  • Verilog刷题[hdlbits] :Module add

    You are given a module add16 that performs a 16-bit addition. Instantiate two of them to create a 32-bit adder. One add16 module computes the lower 16 bits of the addition result, while the second add16 module computes the upper 16 bits of the result, after receiving the carry-out from the first adder. Your 32-bit adder does not need to handle carry-in (assu

    2024年02月06日
    浏览(33)
  • verilog学习 | HDLBits:在线学习答案

    HDLBits 在提供 Verilog 基础语法教程的同时,还能够在线仿真 Verilog 模块。 以下是各单元解法答案。希望可以帮助您了解 Verilog 的工作原理。 HDLBits 在提供 Verilog 基础语法教程的同时,还能够在线仿真 Verilog 模块。 ⚠️ 注意:顶层的模块名称和端口名称 top_module 不能更改,否

    2024年02月16日
    浏览(26)
  • HDLbits---Verilog Language---module:Hierarchy

    2024年02月15日
    浏览(34)
  • HDLBits-Verilog学习记录 | Verilog Language-Modules(1)

    practice:You may connect signals to the module by port name or port position. For extra practice, try both methods. 两种方法: 1、You may connect signals to the module by port name 注:mod_a的端口与top_module的输入输出端口顺序一致,按照位置从左到右适配 2、port position 注:这里直接将两者进行绑定 practice: Thi

    2024年02月11日
    浏览(29)
  • 华为数通方向HCIP-DataCom H12-831题库(单选题:241-260)

    某园区部署了IPV6进行业务测试,该网络中有4台路由器(R1R2、R3和R),运行OSPFV3实现Pv6网络的互联互通。有一台新的路由器R5需要接入网络进行测试,某工程师通过在R4的OSPFV3进程中引入直连路由,实现园区网内的设备能够访问R5的GEO/0/1口地址。关千该场景的描述,错误的是哪一

    2024年02月07日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包