STA:logically exclusive与physically exclusive

这篇具有很好参考价值的文章主要介绍了STA:logically exclusive与physically exclusive。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

今天主要来探讨一下时钟之间的三个关系:logically exclusive、physically exclusive 以及-asynchronous。

1.如果两个时钟C1和C2是logically exclusive的,这意味着这两个时钟共存于设计中,但是彼此之间没有任何交流。”共存在设计中“的意思是某一时刻这两个时钟信号都是存在的;”彼此之间没有任何交流“的意思是:不存在任何时序路径,其起点是由C1驱动的,而其终点是由C2驱动的,也不存在任何路径,其起点是由C2驱动的,而其终点是由C1驱动的。

logically_exclusive,fpga开发

如上图所示C1和C2是典型的logically exclusive,他们共存于设计中,而且时钟信号同时都有,但他们没有任何交互。

2.如果两个时钟C1和C2是physically exclusive的,那说明这两个时钟信号是不能共存于整个设计中的,最典型的例子就是两个时钟信号通过一个MUX输出。

logically_exclusive,fpga开发

如图,MUX的输出Z可能一会是C1的时钟信号,一会是C2的时钟信号,但无论如何,Z端的输出不可能同时是C1和C2,因此在Z端所定义的两个生成时钟GC1和GC2是physically exclusive的。

3.asynchronous

如果两个时钟是异步的,那么就定义为asynchronous,”异步“是指时钟之间没有固定的相位关系。

其次,就共同点而言,这三种关系都会让时序分析工具停止对相关时序路径的分析,因此都会忽略掉相关的时序违例,仅就这个角度看,无论是logically exclusive、physically exclusive还是asynchronous,其效果都是一样的。

但是这三种关系仍然有区别,除了上文123所述的本质区别外,logically exclusive、physically exclusive和asynchronous在对cross talk的处理上是不一样的,但仅就我个人经验而看,cross talk不是不是前端开发人员该考虑的问题,故不深入讨论,有兴趣的朋友可以去看本文最下方给出的参考文献。

下面给出几种典型电路以及正确的时钟定义。

a) 对于logically exclusive的处理:

logically_exclusive,fpga开发

如果上图C1和C2是logically  的,那么推荐的约束命令如下:

logically_exclusive,fpga开发

 图中C1端口经过走线到达MUX的输入端A,之所以要在A处定义生成时钟,是为了使约束命令向后兼容代码。如下图所示,如果在后续版本的代码中,C1时钟和C2时钟的logically exclusive关系消失。而同时我们一开始就定义C1和C2是logically exclusive的,那么此时就会错误地省去某些时序路径的时序分析从而埋下隐患。

b)physically exclusive

logically_exclusive,fpga开发

对于上图所示的 GC1和GC2,他们是physically exclusive的。对于GC1和GC2的正确处理如下

logically_exclusive,fpga开发

这里GC1和GC2由于其source object都是 mux1/Z,即他们的物理位置是一样的,因此要在最后加入-add,否则后面的命令将覆盖前面的命令,导致只有GC2被定义。而-combinational 的意思是生成时钟的源-source所指定的位置到生成时钟的source object之间是纯组合逻辑。(mux1/A到mux1/Z之间是纯组合逻辑)。

所以捏,综合上述a)b)两点,对于如上图所示电路我们的约束应该为:

create_clock -period 10 -name C1 [get_ports C1]

create_clock -period 20 -name C2 [get_ports C2]

create_generated_clock -name GC1 -source [get_ports C1] [get_pins mux1/A] -combinational

create_generated_clock -name GC2 -source [get_ports C2] [get_pins mux1/B] -combinational

set_clock_groups -logically_exclusive -group GC1 -group GC2

create_generated_clock -name muxGC1 -source [get_pins mux1/A] -divide_by 1  \

[get_pins mux1/Z] -combinational

create_generated_clock -name muxGC2 -source [get_pins mux1/B] -divide_by 1  \

[get_pins mux1/Z] -combinational -add

set_clock_groups -physically_exclusive -group muxGC1 -group muxGC2文章来源地址https://www.toymoban.com/news/detail-622611.html

到了这里,关于STA:logically exclusive与physically exclusive的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 三层旁挂STA无法获取IP地址(STA一直 正在获取IP)

      三层旁挂 隧道转发模式 管理vlan 100 88 业务vlan 101 102 ap DHCP服务器在AC上 LSW2为DHCP中继 STA DHCP服务器在 LSW2 上 LSW1: int e0/0/1              p l t              p t a v all              p t p v 100             int e0/0/2              p l t              p t a v all              

    2024年02月09日
    浏览(33)
  • 静态时序分析(STA)

    静态时序分析原理 什么是STA 分析(计算)design是否满足timing约束的要求 DFF(sequential cell—有clk的器件)setup/hold需求 复位/设置信号 信号脉冲宽度 门控时钟信号 计算design是否满足DRC的要求 max_capacitance—节点电容 max_transition—信号爬升时间 max_fanout—负载能力有多少 如上图所

    2024年01月23日
    浏览(30)
  • 静态时序分析STA基础

    @ 目录 一、TCL语言和synopsys TCL语言入门 1.1 TCL基本指令 1.2 synopsys TCL常用语言 二、STA基本概念 2.1 时序弧(Timing Arc)与线延迟、单元延迟 2.2 建立时间与保持时间 2.3 时序路径(Timing path) 2.4时钟域(Clock Domains) 2.5 操作条件(Operating Conditions)和 PVT(Process Voltage Temperature)

    2024年02月15日
    浏览(34)
  • 【STA】多场景时序检查学习记录

    在时钟的有效沿到达触发器之前,数据应在一定时间内保持稳定,这段时间即触发器的建立 时间。满足建立时间要求将确保数据可靠地被捕获到触发器中。 建立时间检查是从发起触发器中时钟的第一个有效沿到捕获触发器中时钟后面最接近的那个有效沿。 触发器到触发器路

    2024年03月13日
    浏览(28)
  • 【postgresql 基础入门】表的约束(二) 唯一unique、非空not null、check、exclusion约束,原理机制以及多列组合约束

    ​ 专栏内容 : postgresql内核源码分析 手写数据库toadb 并发编程 个人主页 :我的主页 管理社区 :开源数据库 座右铭:天行健,君子以自强不息;地势坤,君子以厚德载物. 在数据库中,数据类型可以限制数据存储的大小,也能在一定程度上限制存储的数据种类,但是对于数

    2024年04月08日
    浏览(43)
  • 华为配置STA双栈业务覆盖业务示例

    组网图形 图1  配置STA双栈业务示例组网图 业务需求 组网需求 数据规划 配置思路 配置注意事项 操作步骤 配置文件 业务需求 企业用户接入WLAN网络,以满足移动办公的最基本需求。且在覆盖区域内移动发生漫游时,不影响用户的业务使用。AC上同时配置IPV4和IPV6双协议栈,用

    2024年02月22日
    浏览(29)
  • 数字IC设计之静态时序分析(STA)

    静态时序分析原理 什么是STA 分析(计算)design是否满足timing约束的要求 DFF(sequential cell—有clk的器件)setup/hold需求 复位/设置信号 信号脉冲宽度 门控时钟信号 计算design是否满足DRC的要求 max_capacitance—节点电容 max_transition—信号爬升时间 max_fanout—负载能力有多少 如上图所

    2024年02月05日
    浏览(40)
  • EDA16--STA静态时序分析--PT指令(二)

    PT启动时需要初始化,参照上节内容完成。 PT不能读取 RTL 源文件,它是静态分析引擎,只能读取映射后的设计,包括db、verilog、vhdl 等格式的文件。读入设计的命令格式如下: 由于db格式的网表中包含了约束和环境属性等,故使用-netlist_only选项指示PT只加载结构化网表。 时序

    2024年02月02日
    浏览(25)
  • Physics.Raycast

    Physics.Raycast 是 Unity 中用于检测物体之间碰撞的函数之一。它使用一条射线来检测场景中的物体,返回一个 bool 值表示是否检测到了碰撞,以及一个 RaycastHit 结构体存储着射线碰撞到的物体的信息。 Physics.Raycast 的完整签名如下: 该函数的参数如下: ray :一条用于检测碰撞的

    2024年02月12日
    浏览(32)
  • Wlan——STA上线流程与802.11MAC帧讲解

    目录 802.11MAC帧基本概念 802.11帧结构 802.11MAC帧的分类 管理帧 控制帧 数据帧 STA接入无线网络流程 信号扫描—管理帧 链路认证—管理帧 用户关联—管理帧 用户上线 不同802.11帧的转发路径 802.11 协议在802家族中的角色位置 其中802.3标准属于以太网的一种帧格式(以太网还有一

    2024年02月12日
    浏览(21)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包