基于FPGA的2ASK、2FSK、2PSK的调制解调

这篇具有很好参考价值的文章主要介绍了基于FPGA的2ASK、2FSK、2PSK的调制解调。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。


前言

本次设计是基于FPGA的调制解调器的设计。涉及到2ASK、2FSK、2PSK的调制解调,利用Verilog HDL进行底层设计,顶层为了直观用的原理图方法。这里没有用modelsim仿真,直接上板子用的signalTap II 观测的波型

一、顶层原理图

fpga包络检波,FPGA,fpga开发

该总体框图包括分频器、载波生成模块、m序列生成模块、调制解调模块和选择模块。

二、signalTap II 上的仿真图

fpga包络检波,FPGA,fpga开发

fpga包络检波,FPGA,fpga开发
2ASK用的解调方法是包络检波法,以上是各节点的波形图。
fpga包络检波,FPGA,fpga开发

fpga包络检波,FPGA,fpga开发
2FSK用的解调方法是过零检测法,以上是各节点的波形图。
fpga包络检波,FPGA,fpga开发

fpga包络检波,FPGA,fpga开发
2PSK用的解调方法是相干解调法,以上是各节点的波形图。
示波器显示图:
fpga包络检波,FPGA,fpga开发
fpga包络检波,FPGA,fpga开发
fpga包络检波,FPGA,fpga开发

三、部分代码贴出

代码链接

总结

本次设计参考了学校的实验平台和《数字调制解调技术的MATLAB与FPGA实现 Altera Verilog版_杜勇编著》这本书的内容。文章来源地址https://www.toymoban.com/news/detail-622831.html

到了这里,关于基于FPGA的2ASK、2FSK、2PSK的调制解调的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 2ASK调制解调实验

    一,数字信号的键控调制 在数字调制技术中,由于数字信号具有离散值的特点,因此数字调制技术通常有两种 方法:一是利用模拟调制的方法去实现数字调制,即把数字调制看成是模拟调制的一个特 例,把数字基带信号当做模拟信号的特殊情况来处理;二是利用数字信号的

    2023年04月08日
    浏览(24)
  • m基于FPGA的8PSK调制解调系统verilog实现,包含testbench测试文件

    目录 1.算法仿真效果 2.算法涉及理论知识概要 2.1 8PSK调制原理 2.2 基于FPGA的8PSK调制解调器设计和实现 3.Verilog核心程序 4.完整算法代码文件获得 vivado仿真结果如下: 借助matlab看8PSK的星座图:         随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广

    2024年02月05日
    浏览(29)
  • 通信原理与MATLAB(八):2PSK的调制解调

    2PSK调制原理如下图所示,和2ASK调制原理相似,只不过基带码元是双极性不归零码,基带码元d(t)和高频载波相乘实现2PSK信号的调制。 波形图如下图所示 2PSK的解调原理如下图所示,2PSK信号经过信道传输之后,再和载波相乘,然后经过低通滤波后抽样判决恢复出原始基带码元

    2024年02月07日
    浏览(25)
  • 通信原理与MATLAB(七):2FSK的调制解调

    2FSK调制原理如下图所示,基带码元d(t)中码元为1时,波形为频率为f1的高频载波;基带码元d(t)中码元为0时,波形为频率为f2的高频载波实现2FSK信号的调制,即基带码元和f1的高频正弦波相乘生成2ASK,基带码元的反码和f2的高频正弦波相乘生成第二个2ASK,两个2ASK相加得到2FSK。

    2024年02月09日
    浏览(24)
  • 通信原理与MATLAB(六):2ASK的调制解调

    2ASK调制原理如下图所示,基带码元d(t)和高频载波相乘实现2ASK信号的调制。 波形图如下图所示 2ASK的解调原理如下图所示,2ASK信号经过信道传输之后,再和载波相乘,然后经过低通滤波后抽样判决恢复出原始基带码元信号。 结果图中2ASK信号是经过信道,加了高斯白噪声的。

    2024年02月10日
    浏览(28)
  • 基于verilog实现2FSK调制解调器

      在某些具体情况下,如通过电话线传输信息时,由于在电话线上只能传输模拟信号,因此需要将数字信号转换为模拟信号,进而将转换后的模拟信号进行传输。数据接收端对模拟信号进行采样,量化,编码后,还原出数字信号。   在上述过程中,数据发送端将数字信号

    2024年02月02日
    浏览(26)
  • 基于FPGA的QPSK调制解调-------(1)QPSK调制技术的原理

    QPSK(正交相移键控)是M=4的MPSK一种,也可叫做四进制相移键控。即有四个不同相位的状态,每个码元需要传输2bit的数字信息,可由00、01、11、10组合来对应表示四个不同的相位0、pi/2、pi、3pi/2或pi/4、3pi/4、5pi/4、7pi/4。 由 QPSK调制原理框图如图所示:        首先将输入的数

    2024年02月04日
    浏览(31)
  • FSK解调技术的FPGA实现

    本原创文章由深圳市小眼睛科技有限公司创作,版权归本公司所有,如需转载,需授权并注明出处 一、FSK信号的解调原理 FSK信号的解调也有非相干和相干两种,FSK信号可以看作是用两个频率源交替传输得到的,所以FSK的接收机由两个并联的ASK接收机组成。 (1)相干解调 相干解

    2024年02月09日
    浏览(34)
  • STM32-2FSK解调 一种简单的C语言包络提取方法

    效果如图,其中方波为基带信号,原始信号为2FSK经过一路带通滤波器后的理想输出结果。 程序对原始信号的绝对值信号进行包络提取。可以看到提取出的包络信号与方波信号相差无几。  代码如下: 可以看出阈值的设置相当重要,建议对输入的信号求平均值,以平均值作为

    2024年02月12日
    浏览(24)
  • m基于FPGA的BPSK调制解调通信系统verilog实现,包含testbench,包含载波同步

    目录 1.算法仿真效果 2.算法涉及理论知识概要 3.verilog核心程序 4.完整算法代码文件 vivado2019.2仿真结果如下:        对比没载波同步和有载波同步的仿真效果,我们可以看到,当不存在载波同步时,数据的包络会有一个缓慢的类正弦变换,这是由于存在频偏导致的。而当加

    2024年02月16日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包