vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins

这篇具有很好参考价值的文章主要介绍了vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、问题描述

最近在用Xilinx FPGA做一个东西,在此过程中,发现如果写的代码消耗的bram资源超过一定数目,vivado implementation就会失败,且Messages没有错误信息,如下图:
vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins,FPGA,vivado,fpga开发

vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins,FPGA,vivado,fpga开发
lmplementation Run Properties 中显示:

Finished Running Vector-less Activity Propagation
INFO: [Pwropt 34-322] Received HACOOException
WARNING: [Pwropt 34-321] HACOOException: Too many TFIs and TFOs in design, exiting pwropt. You can change this limit with the param pwropt.maxFaninFanoutToNetRatio
INFO: [Pwropt 34-232] Skipping BRAM gating because all BRAMs are in high frequency (i.e. period < 3.12 ns) clock domains.
INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 80 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated.
Abnormal program termination (EXCEPTION_ACCESS_VIOLATION)
Please check 'E:/CODE/FPGA/wujian100/test1/wujian100_open-master/fpga/vivado/wujian100_test1/wujian100_test1.runs/impl_1/hs_err_pid14172.log' for details

vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins,FPGA,vivado,fpga开发
hs_err_pid14172.log文件里显示:

#
# An unexpected error has occurred (EXCEPTION_ACCESS_VIOLATION)
#
Stack:
no stack trace available, please use hs_err_<pid>.dmp instead.

二、解决方法
在Tcl Console中输入如下命令,再重新lMPLEMENTATION就不会出错了。

set_param pwropt.maxFaninFanoutToNetRatio 2000

原因是:
vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins,FPGA,vivado,fpga开发

参考资料

https://support.xilinx.com/s/question/0D52E00006hpmJ7SAI/pwropt-34321-hacooexception-too-many-faninfanouts-in-design-exiting-pwropt-you-can-change-this-limit-with-the-param-pwroptmaxfaninfanouttonetratio?language=en_US文章来源地址https://www.toymoban.com/news/detail-625088.html

到了这里,关于vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 取消Async Stack Traces无法解决Sharing is only supported for boot loader classes时的解决方法

    报错问题: 搜到的解决方法(不能用版): , 目前网上大多数解决方法都是说取消idea中此处的勾选,但是我在这里取消勾选后,警告仍然存在。于是接下来通过不断的查资料对这个警告也有了一定的认识,看到这里,没耐心的小伙伴可以先行退出,因为这个警告完全可以不

    2024年02月04日
    浏览(38)
  • java.lang.UnsatisfiedLinkError: No implementation found for

    由于native方法修改了包名,导致load so执行的时候崩溃原因分析; 1)确认报错的方法有没有被混淆。         查看混淆 文件是否有下面的配置:                 //保留native方法的类名和方法名                 -keepclasseswithmembernames class * {            

    2023年04月23日
    浏览(33)
  • 报错:To see the full stack trace of the errors, re-run Maven with the -e switch.

    目录 报错信息: 报错场景: 报错原因:  解决方法: [ERROR] Java heap space - [Help 1] [ERROR]  [ERROR] To see the full stack trace of the errors, re-run Maven with the -e switch. [ERROR] Re-run Maven using the -X switch to enable full debug logging. [ERROR]  [ERROR] For more information about the errors and possible solutions, please

    2024年02月07日
    浏览(42)
  • android studio调用so出现 java.lang.UnsatisfiedLinkError: No implementation found for int

    出现  java.lang.UnsatisfiedLinkError: No implementation found for int, 如果文件都已准备就绪,还出现这样的异常很有可能 是因为 调用这个so的java程序的包名与so包名不一至导致。 注意,这里的java程序的包名并不是 androidmainfest.xml里的包名,而是单纯调用这个so库的这个java文件的包名。

    2024年01月18日
    浏览(37)
  • Vivado ip核综合失败,且无任何报错

    如果log信息里有TclStackFree: incorrect freePtr. Call out of sequence?可能是因为计算机的名字不能有中文,改一下就好了。反正我的是,刚装的vivado 2021版,测试软件时找了好几天解决办法https://forums.xilinx.com/t5/Synthesis/TclStackFree-incorrect-freePtr-Call-out-of-sequence-in-2016-4/m-p/742698

    2024年02月13日
    浏览(34)
  • vivado 错误提示[DRC REQP-21] 以及 vivado design initialization error

    个人在使用hls生成IP核时,并没有出现报错的问题,当我将自己的IP加入到vivado block design时,综合也并没有任何的问题出现,但当跑到implementation时,出现以下的一些错误, [DRC REQP-21] enum_AREG_2_connects_CEA1_GND_connects_CEA2_GND_connects_CEA1_connects_CEA2: (马赛克…)mfYi_DSP48_2_U/p: When t

    2024年02月06日
    浏览(44)
  • VIVADO报错:[opt31-67]之MIG ip核综合失败

    博客1:添加IP核的方法错误 博客2: 模块例化时有输入端口未连接 平时我们我们正常生成ip核的操是如下的,这下的结果就会导致最终报错。报错结果如下 [Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I0,… The LUT cell nameis:u_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_

    2024年02月13日
    浏览(39)
  • Vivado无法连接hardware的问题(localhost(0)/auto connect失败)

    购买了XILINX ARTIX-7系列的fpga开发板,在vivado上写完代码跑完仿真后进行板级验证,但是在hardware management里中选择auto connect后无法连接到板子。 解决方法: 检查物理连接,保持板子接电且上电了。在建立项目的时候不要选择错板子的型号。 查看USB的驱动有没有安装好。 如果

    2024年02月11日
    浏览(38)
  • 如何解决Vivado仿真时提示:另一个程序正在使用此文件 behavxsimsimulate.log

    Vivado仿真错误提示: 使用非Vivado自带的Text Editor(文本编辑器,如Vscode、Sublime等),在开始新的仿真时,前一次仿真没有关掉,导致log文件被一直占用。 方法一 关掉代码编辑器再进行仿真即可恢复正常 方法二 1、打开任务管理器(快捷键Ctrl + Shift + Esc) 2、点击性能 3、打开

    2024年02月12日
    浏览(29)
  • 建立安全连接失败(解决浏览器提示建立安全连接失败问题)

    1、首先打开开始菜单,选择“网络和Internet”并进入。 2、接着在“以太网”选项中选择“更改适配器选项”,点击并进入。

    2024年02月04日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包