【FPGA】Vivado开发流程(基于2018.3版本)

这篇具有很好参考价值的文章主要介绍了【FPGA】Vivado开发流程(基于2018.3版本)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

基本流程:①设计定义 ②设计输入 ③分析综合 ④功能仿真 ⑤布局布线 ⑥分析性能

1.启动软件

 

双击 Vivado图标即可启动 Vivado 软件。

vivado开发流程,FPGA,fpga开发

 2.创建工程

vivado开发流程,FPGA,fpga开发

①Quick Start 组包含有 Create Project(创建工程) Open Project(打开工程)OpenExample Project(打开实例工程)。

②Tasks 组包含有 Manage IP(管理 IP) Open Hardware Manager (打开硬件管理器)Xilinx Tcl Store(Tcl 脚本存储库)。

③Learning Center 组包含有 Documentation and Tutorials(文档和教程) QuickTakeVideos(快速上手视频) Release Notes Guide(发布注释向导)。

通过单击 Quick Start 组的 Create Project 来新建一个 Vivado 设计工程。
vivado开发流程,FPGA,fpga开发
进入到 Project Name 对话框后,填入工程名称以及工程路径, 根据实际情况确定是否勾选复选框 Create project subdirectory,由于默认勾选了该选项, Vivado 会在所选工程目录下自动创建一个与工程名同名的文件夹,用于存放工程内的各种文件。并且 Vivado 会自动管理工程文件夹内的各种工程文件,并创建相应的子目录,这为我们的开发工作带来了很大的便捷。此处我们需要注意的是,工程路径与工程名一定不能包含空格以及中文字符,否则在后续流程中会出现错误。然后单击 Next 按钮。

vivado开发流程,FPGA,fpga开发

出现 Project Type 对话框,如图所示。 Vivado 工具可以创建多种不同类型的工程,如最常使用的 RTL 工程、综合后的网表工程、 IO 规划工程以及导入其他设计工具的工程。在这里我们选择 RTL 类型的工程,我们可以在创建工程的阶段进行设计文件的添加或创建,也可以在工程创建完成后再进行设计文件的添加或创建。此处我们勾选下面的复选框 Do not specify sources at this time,不在设计创建阶段进行添加源文件。单击 Next 按钮。
vivado开发流程,FPGA,fpga开发

 在这个我们需要选择设计所用的具体 FPGA芯片的型号,根据板卡所用 FPGA 的器件系列、封装、速度等级以及温度级别来进行筛选,如图所示。选择完成后单击 Next 按钮。之后点击Finish完成创建。

3.添加源文件

在 Flow Navigator 下,找到 Project Manager 并展开,单击 Project Manager 下的 Add Sources 选项;或者在 Sources 面板下单击 按钮,面板如图所示。
 

1) Add or Create Constraints(添加或者创建约束);
2) Add or Create Design Sources(添加或者创建设计源文件)
3) Add or Create Simulation Sources(添加或者创建仿真文件);

vivado开发流程,FPGA,fpga开发

点击Float可以悬浮窗口: 

vivado开发流程,FPGA,fpga开发

我们简单写一个二选一多路器的代码: 

module themux2(
    a,
    b,
    sel,
    out
 );
    input a;
    input b;
    input sel;
    output out;
    //二选一多路器
    assign out = (sel==1)?a:b;
    
    
endmodule

4.设计综合

点击快捷键进行操作: 

vivado开发流程,FPGA,fpga开发

 综合时右上角会有一个绿色圆圈在转,完成后我们可以看一下报告,没有红色也就是没有语法错误和逻辑错误。

vivado开发流程,FPGA,fpga开发

 5.仿真

下面是二选一多路器的testbench:

`timescale 1ns/1ns

module themux2_tb();

reg s_a;
    reg s_b;
    reg sel;
    wire out;
    
themux2 themux2(//后面是例化名称
    
    .a(s_a),
    .b(s_b),
    .sel(sel),
    .out(out)
 );
    initial begin
        s_a = 0;s_b = 0; sel = 0;
        #200;
         s_a = 0;s_b = 0; sel = 1;
        #200; 
        s_a = 0;s_b = 1; sel = 0;
        #200;
         s_a = 0;s_b = 1; sel = 1;
        #200; 
        s_a = 1;s_b = 0; sel = 0;
        #200;
         s_a = 1;s_b = 0; sel =1;
        #200;
         s_a = 1;s_b = 1; sel = 0;
        #200;
         s_a = 1;s_b = 1; sel = 1;
        #200;
        end
endmodule文章来源地址https://www.toymoban.com/news/detail-626614.html

到了这里,关于【FPGA】Vivado开发流程(基于2018.3版本)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(45)
  • FPGA 学习笔记:Vivado 2018.2 MicroBlaze 启动 SDK

    Vivado 2018.2,配置好 MicroBlaze Uartlite等Block Design后,生成了 bin、bin文件,此时烧写到FPGA板子上,发现没有任何动静,所以需要 SDK的支持 这里使用的Vivado 2018.2,最新的 Vivado版本,如 Vivado 2020.2,启动的SDK 是 :Vitis,启动方式稍微有点不同,后面补充 Vivado 2020.2版本的操作方法

    2024年02月12日
    浏览(38)
  • FPGA开发技巧备忘录——Vivado 自动日期版本号

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 我们在编译FPGA工程的时候一般需要对版本号的更新,一般来说都会有一个日期或者时间的版本标识,在上板调试的时候用于表征当前版本确实已经更新成功,或者作为FPGA发布版本的标识等等。但有时候

    2024年02月11日
    浏览(45)
  • Vivado开发FPGA使用流程、教程 verilog(建立工程、编译文件到最终烧录的全流程)

    目录 一、概述 二、工程创建 三、添加设计文件并编译 四、线上仿真 五、布局布线 六、生成比特流文件 七、烧录 一、概述 vivado开发FPGA流程分为创建工程、添加设计文件、编译、线上仿真、布局布线(添加约束文件)、生成比特流文件、烧录等步骤,下文将按照这些步骤讲

    2024年02月09日
    浏览(22)
  • 基于vivado+Verilog FPGA开发 — GT收发器

    代码规范:Verilog 代码规范_verilog代码编写规范-CSDN博客 开发流程:FPGA基础知识----第二章 FPGA 开发流程_fpga 一个项目的整个流程-CSDN博客   源码下载:GitHub - Redamancy785/FPGA-Learning-Record: 项目博客:https://blog.csdn.net/weixin_51460407 零、低速通信接口的缺陷 1、同步通信要求传输数据

    2024年04月17日
    浏览(47)
  • FPGA基于Vivado开发,设计顶层文件Top.v

    首先得承认,我并不是主动拥抱顶层文件这套思路的,原因很简单,能用就行干嘛费劲搞那么多东西。起初知识点亮一个LED灯,整一个半加器的简单模拟,也确实根本用不上。后边工程有一定的负责度,例如设计数字时钟,LCD1602驱动设计等等,这个时候我就发现了层次化设计

    2024年02月08日
    浏览(32)
  • 基于FPGA的AES加密解密vivado仿真,verilog开发,包含testbench

    目录 1.算法描述 2.仿真效果预览 3.verilog核心程序 4.完整verilog          AES, 高级加密标准, 是采用区块加密的一种标准, 又称Rijndael加密法. 严格上来讲, AES和Rijndael又不是完全一样, AES的区块长度固定为128比特, 秘钥长度可以是128, 192或者256. Rijndael加密法可以支持更大范围的区

    2024年02月01日
    浏览(39)
  • 基于vivado+Verilog FPGA开发 — 基于AD9767高速DAC的DDS信号发生器

    目录  一、功能定义 二、设计输入  1、主模块 2、DDS模块 3、 按键消抖模块 三、功能仿真  四、综合优化 五、布局布线 六、时序仿真 七、板级调试  代码规范:Verilog 代码规范_verilog代码编写规范-CSDN博客 开发流程:FPGA基础知识----第二章 FPGA 开发流程_fpga 一个项目的整个

    2024年03月18日
    浏览(54)
  • FPGA:Vivado流水灯设计详细流程(1)

    基于Vivado的FPGA设计开发的流程主要包括以下步骤: 1)创建工程; 2)创建源设计文件,包括Verilog文本、IP核、模块文件、网表输入等方式; 3)行为仿真(Behavioral Simulation),Vivado自带仿真器,也可以选择第三方仿真软件ModelSim等工具进行仿真; 4)综合(Synthesis):根据设

    2024年02月03日
    浏览(35)
  • 【FPGA】解决vivado工程版本兼容问题

    个人笔记。 vivado不同版本之间有可能并不兼容,需要更改一些配置即可。我现在用的是vivado2017.4版本,现在想打开vivado2018.3版本的工程,但会出现警告,主要是版本不兼容导致。 可以按以下步骤来解决。 1、用一个文本编辑器打开我们的工程项目.xpr,修改version和minor 看到

    2024年02月03日
    浏览(26)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包