modelsim安装使用及Vivado关联

这篇具有很好参考价值的文章主要介绍了modelsim安装使用及Vivado关联。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

插件系列文章目录:
(1)modelsim安装使用及Vivado关联
(2)VSCode关联VIVADO编辑Verilog
(3)Modelsim观察波形–基础操作
(4)Quartus联合 ModelSim仿真及测试


前言

使用Vivado关联modelsim仿真使用


提示:以下是本篇文章正文内容,下面案例可供参考

一、Modelsim下载

1.解压安装包(版本10.4)。
链接:https://pan.baidu.com/s/1tMuoschstuvaIMwvRDx-zg?pwd=rgbk
提取码:rgbk

或选择解压安装包(版本10.6c)
链接:https://pan.baidu.com/s/15tvwipx5_wiqGEUtaShwLA?pwd=w2vh
提取码:w2vh


版本10.4安装步骤:

2.以管理员身份运行安装程序。
modelsim安装,Vivado插件分享,fpga开发
3.点击下一步
modelsim安装,Vivado插件分享,fpga开发
4.点击下一步
modelsim安装,Vivado插件分享,fpga开发

5.点击同意
modelsim安装,Vivado插件分享,fpga开发
6.等待安装
modelsim安装,Vivado插件分享,fpga开发
7.其他选择同意或是即可
modelsim安装,Vivado插件分享,fpga开发
8.license
8.1.将安装包Crack文件夹中的这三个文件复制到安装目录win32/win64文件夹下面(如果win32/win64文件夹里面有mgls.dll文件,则mgls.dll不需要复制)。
modelsim安装,Vivado插件分享,fpga开发
8.2.以管理员身份运行上面复制到安装路径的patch64_dll.bat,保存生成的LICENSE.TXT文件文件到安装目录下面,如我的保存的目录是C:\Program Files\Modelsim。
modelsim安装,Vivado插件分享,fpga开发
注意事项1:步骤第10步crack文件夹没有mgls.dll文件没关系,下载的软件目录下win64文件夹有

注意事项2:如果出现步骤第11步双击patch_dll.bat一闪而过,将安装目录下win64文件夹的mgls.dll和mgls64.dll右键属性取消只读,然后用cmd(以管理员身份打开),然后切换到安装目录下的win64目录,键入patch_dll.bat回车即可
————————————————
8.3.生成License.txt文件
modelsim安装,Vivado插件分享,fpga开发
8.4.新建环境变量(环境变量在“我的电脑–属性–高级系统设置–环境变量”),变量名为MGLS_LICENSE_FILE,变量值为上面LICENSE.TXT文件的路径,如我的是C:\Program Files\Modelsim\LICENSE.TXT。
modelsim安装,Vivado插件分享,fpga开发
8.5.打开modelsim软件
modelsim安装,Vivado插件分享,fpga开发

版本10.6c安装步骤:

(1)下载后解压到当前文件夹
modelsim安装,Vivado插件分享,fpga开发
(2) 首先双机打开modelsim_dlx-win64-10.6c-de.exe进行软件安装

modelsim安装,Vivado插件分享,fpga开发

(3)点击下一步
modelsim安装,Vivado插件分享,fpga开发
(4)点击下一步
modelsim安装,Vivado插件分享,fpga开发

(5)点击同意
modelsim安装,Vivado插件分享,fpga开发
(6)等待安装
modelsim安装,Vivado插件分享,fpga开发
(7)其他选择同意或是即可
modelsim安装,Vivado插件分享,fpga开发
(8)license

(8.1)将解压的文件(MentorKG.exe和crack.bat)复制到软件安装目录下的win64pe文件夹中
modelsim安装,Vivado插件分享,fpga开发

modelsim安装,Vivado插件分享,fpga开发
(8.2)将粘贴后的crack文件右击点击编辑

modelsim安装,Vivado插件分享,fpga开发

(8.3)将文件中的mgls改为mgls64,如图红标所示,修改完成后进行保存

modelsim安装,Vivado插件分享,fpga开发

modelsim安装,Vivado插件分享,fpga开发

(8.4)此时双机crack文件等待一段时间会出现如下图所示的LICENSE.TXT文件
modelsim安装,Vivado插件分享,fpga开发

(8.5)将文件点击另存为保存在安装目录文件夹下(我一般存放在安装文件目录下第一个界面,原则上只要存放在安装目录下的任意位置)

modelsim安装,Vivado插件分享,fpga开发
(8.6)新建环境变量(环境变量在“我的电脑–属性–高级系统设置–环境变量”),变量名为MGLS_LICENSE_FILE,变量值为上面LICENSE.TXT文件的路径,如我的是C:\Program Files\Modelsim\LICENSE.TXT。
modelsim安装,Vivado插件分享,fpga开发
(8.7)打开modelsim软件
modelsim安装,Vivado插件分享,fpga开发

二、Vivado关联

Vivado 软件自带有仿真功能,该功能使用还是比较方便的,初学者可以直接使用自带的仿真功能。对于想使用 Modelsim 进行关联仿真的,下面将提供 Vivado 设置关联使用第三方仿真软件 Modelsim 的方法步骤,具体如下。
1、打开 vivado 2018.3 软件,在 Tools 下点击 Settings…
modelsim安装,Vivado插件分享,fpga开发
2.进入 Settings 界面,在界面左侧窗口找到 3nd Party Simulations,然后找到设置第三方仿真工具安装路径和编译库文件目录的地方。
modelsim安装,Vivado插件分享,fpga开发
3.在 Install Paths 下的 Modelsim 通过点击右侧“…”,选择已经安装好 modelsim 的路径,在 Default Compiled Library Paths 下的 Modelsim 通过点击右侧“…”,选择设置一个目录文件夹,这里我在 modelsim 安装目录下新建了 vivado_lib 的文件夹,并指定到这里。读者可根据自己的情况进行设置。这里设置的路径是 Vivado 仿真编译库文件存放的地址,目前还没有对库文件进行编译生成仿真所需的文件,所以可自己指定(注意不要指向带空格的路径),后面编译库文件生成仿真所需文件时,将目录再设置到这个目录就行。然后点击 OK。
modelsim安装,Vivado插件分享,fpga开发
4.编译 Vivado 的库供第三方仿真工具 Modelsim 仿真使用。在 Tools 下点击 Compile Simulation Libraries…
modelsim安装,Vivado插件分享,fpga开发
5.进入 Complile Simulation Libraries 界面,需要进行如下一些设置。
○1 我们使用的第三方仿真工具是 modelsim,在 Simulator 处选择 Modelsim Simulation;
○2 Language 默认选择 All,这里可以根据实际使用情况选择,也可以选择 Verilog 或VHDL;
○3 Library 默认选择 All;
○4 器件就根据实际使用情况进行选择,我们使用的开发板是 Artix-7,可以只勾选这个就可以,这里选择的器件系列越多,Compile 生成库的时间越长,若需要选择全部的器件,建议可以选择在空闲时间进行编译。
○5 编译库存放路径,这里路径默认是我们在前面 3nd Party Simulations 上设置的路径,前面设置过了,这里默认就可以。
○6 仿真软件 Modelsim 路径,这里路径默认是我们在前面 3nd Party Simulations 上设置的路径,前面设置过了,这里默认就可以。
○7其他地方保持默认,点击 Compile,出现如下界面,开始编译 vivado 库生成 modelsim仿真库了,这个过程大概需要 40 分钟,如果选择全部器件,时间会更长。

6、仿真库编译完成后,想要使用 Vivado 自动关联调用 Modelsim 进行仿真,还需在 Tool–>Setting–>Simulation 下对仿真进行如下设置。这里的设置需要在打开一个工程后,打开工程后才会在 Settings 下有 Simulation 选项。需进行如下设置。
○1 选择仿真我们使用的第三方仿真软件 modelsim
○2 语言根据实际情况进行选择,这里可供选择的有 Verilog、VHDL、Mixed,保持默认即可。
○3 保持默认就 OK
○4 仿真的顶层文件,选择你要仿真的 testbench 文件,一般在你去点击 vivado 软件的Run Simulation 时它会默认选择要仿真的顶层 testbench 文件,自己在仿真时可核对下是否有误。
○5 选择我们存放编译 Vivado 仿真库的路径,这里会根据之前生成编译库默认为编译库的路径,我们只要核对下是否有误,有问题就改一下,没有问题就保持默认就 OK。
modelsim安装,Vivado插件分享,fpga开发
7、整个设置就完成了,在需要进行仿真时,点击 Run Simulation -->Run behavioral Simulation 或其他类型仿真就会自动弹出 Modelsim 界面。
modelsim安装,Vivado插件分享,fpga开发
至此,Vivado 设置关联使用第三方仿真软件 Modelsim 就完成了,可以比较方便的通过Vivado 调用 Modlsim 进行仿真。对于初学者,建议可以直接使用 Vivado 自带的仿真功能。后面的例程基本也是采用 Vivado 自带的仿真功能进行仿真验证。文章来源地址https://www.toymoban.com/news/detail-640743.html

到了这里,关于modelsim安装使用及Vivado关联的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA开发必备软件——Vivado,安装教程

    FPGA开发必备软件——Vivado,安装教程 如果你想开始FPGA的开发学习,那么Vivado是一个不可或缺的软件。它是Xilinx推出的一款针对FPGA、SoC和ASIC开发的综合设计环境。在这里,我们详细介绍如何下载、安装和配置Vivado软件。 在Xilinx官网上注册一个账号。注册时需要提供自己的邮

    2024年02月13日
    浏览(37)
  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(49)
  • FPGA开发之Vivado安装及HLS环境配置,并实现流水灯实例

    HLS(High-Level Synthesis)高层综合,就是将 C/C++的功能用 RTL 来实现,将 FPGA 的组件在一个软件环境中来开发,这个模块的功能验证在软件环境中来实现,无缝的将硬件仿真环境集合在一起,使用软件为中心的工具、报告以及优化设计,很容易的在 FPGA 传统的设计工具中生成 IP。

    2024年02月05日
    浏览(38)
  • 紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

        Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具,主要用于验证数字电路设计是否正确。紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真,这里作简要的介绍。 方法一     打开 Pango Design Suite,点击 Tools - Compile Simulation Libraries,在弹出来的窗口中,设置需要编译

    2023年04月10日
    浏览(45)
  • linux下的questasim安装和与vivado的关联

    参考链接 IC验证工具:Ubuntu下Questasim10.7安装(64bit)全攻略 参考2 易特创芯 linux环境下questasim 10.7的安装总结_limanjihe的博客-CSDN博客 前面操作参照的第一个链接,后面激活文件参考的第二个 另外在生成激活文件时在windows下转换太麻烦,可以用 ⽣成所需的 mentor.dat 但是还是出

    2024年02月14日
    浏览(38)
  • Vivado开发FPGA使用流程、教程 verilog(建立工程、编译文件到最终烧录的全流程)

    目录 一、概述 二、工程创建 三、添加设计文件并编译 四、线上仿真 五、布局布线 六、生成比特流文件 七、烧录 一、概述 vivado开发FPGA流程分为创建工程、添加设计文件、编译、线上仿真、布局布线(添加约束文件)、生成比特流文件、烧录等步骤,下文将按照这些步骤讲

    2024年02月09日
    浏览(25)
  • FPGA开发环境 Vivado

    Vivado是Xilinx系列FPGA开发环境。本文记载收录了vivado常用开发技巧,随机记录、随时更新。。。 任何Xilinx相关问题都可到WELCOME TO XILINX SUPPORT!查询 静态时序分析(Static Timing Analysis, STA):简介及内容导航 VIVADO的综合属性ASYNC_REG 在XDC中作如下约束,表示对名字末尾为 _cdc_to 的寄

    2024年02月11日
    浏览(44)
  • Mac 开发 Tang Nano FPGA 指南(使用终端和使用 VS Code 和插件,适用所有 Gowin FPGA)

    最近收到了一个 Tang nano 9K FPGA开发板,就想借此机会研究一下。 官方文档里介绍如果想使用高云的 FPGA,就需要使用 GOWIN IDE,但是需要申请 license 提交一堆资料,我是别人送的就不太方便让别人弄。加上 IDE 其实并不是很适合学习和投入生产,因为 IDE 忽略了很多细节,以及

    2024年02月12日
    浏览(52)
  • 【FPGA】双线性差值实现图像缩放,使用modelsim和matlab仿真验真

    双线性插值,又称为双线性内插。在数学上,双线性插值是有两个变量的插值函数的线性插值扩展,其核心思想是在两个方向分别进行一次线性插值。 双线性插值作为数值分析中的一种插值算法,广泛应用在信号处理,数字图像和视频处理等方面。 网上理论知识一大把,反

    2024年02月12日
    浏览(33)
  • 【FPGA】Vivado开发流程(基于2018.3版本)

    基本流程:①设计定义 ②设计输入 ③分析综合 ④功能仿真 ⑤布局布线 ⑥分析性能   双击 Vivado图标即可启动 Vivado 软件。 ①Quick Start 组包含有 Create Project(创建工程) Open Project(打开工程)OpenExample Project(打开实例工程)。 ②Tasks 组包含有 Manage IP(管理 IP) Open Hardw

    2024年02月14日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包