FPGA + WS2812采灯控制

这篇具有很好参考价值的文章主要介绍了FPGA + WS2812采灯控制。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、WS2812C-2020-V1

1、产品概述

WS2812C-2020-V1是一个集控制电路与发光电路于一体的智能外控LED光源;其外型采用最新的molding封
装工艺,将IC与发光芯片封装在一个2020的封装尺寸中,每个元件即为一个像素点;像素点内部包含了智能数字
接口数据锁存信号整形放大驱动电路,还包含有高精度的内部振荡器和可编程定电流控制部分,有效保证了像素
点光的颜色高度一致。

主要特点:
● IC控制电路与LED点光源共用一个电源。
● 每个通道工作电流5mA.
● 控制电路与RGB芯片集成在一个2020封装的元器件中,构成一个完整的外控像素点。
● 内置信号整形电路,任何一个像素点收到信号后经过波形整形再输出,保证线路波形畸变不会累加。
● 内置上电复位和掉电复位电路。
● 每个像素点的三基色颜色可实现256级亮度显示,完成16777216种颜色的全真色彩显示。
● 端口扫描频率2KHz/s。
● 串行级联接口,能通过一根信号线完成数据的接收与解码。
● 任意两点传输距离在不超过5米时无需增加任何电路。
● 当刷新速率30帧/秒时,级联数不小于1024点。
● 数据发送速度可达800Kbps。
● 光的颜色高度一致,性价比高。

2、引出端排列及功能

FPGA + WS2812采灯控制,fpga开发

序号 符号 管脚名 功能描述
1 DO 数据输出 控制数据信号输出
2 GND 信号接地和电源接地
3 DI 数据输入 控制数据信号输入
4 VDD 电源 供电管脚

3、数据传输时间

FPGA + WS2812采灯控制,fpga开发
时序波形图
FPGA + WS2812采灯控制,fpga开发

4、数据传输方法

FPGA + WS2812采灯控制,fpga开发
注:其中 D1 为 MCU 端发送的数据,D2、D3、D4 为级联电路自动整形转发的数据。
24bit 数据结:
FPGA + WS2812采灯控制,fpga开发

二、使用WS2812C显示图片

1、静态显示

显示F为例:
FPGA + WS2812采灯控制,fpga开发
首先将图片信息存入rom中,通过读出rom中的数据,将数据中的值通过高低电平的脉宽调制,显示至WS2812C上。
显示模块:

module ws2812b_driver (
    input       wire        clk         ,
    input       wire        rst_n       ,
    input       wire [23:0] data_in     ,//输入的RGB
    input       wire        data_vld    ,

    output      wire        ready       ,
    output      wire        pwm                 //输出波形
);


localparam      IDLE = 3'b001,
                RST  = 3'b010,
                DATA = 3'b100;

localparam      T0H = 300/20,
                T0L = 900/20,
                T1H = 600/20,
                T1L = 600/20;

parameter MAX_RES = 15'd20_000;

reg [2:0]       state_c;//现态
reg [2:0]       state_n;//次态


wire            idle_rst    ;//IDLE -> RST
wire            rst_data    ;//RST -> DATA
wire            data_idle   ;//DATA -> IDLE


wire [23:0]      fifo_wr_data;
wire [23:0]      fifo_rd_data;
wire             fifo_wr_req;
wire             fifo_rd_req;
wire             fifo_empty;
wire             fifo_full;

reg			[14:0]	cnt_res	   	;
wire				add_cnt_res	;
wire				end_cnt_res	;


reg			[5:0]	cnt_time	   	;
wire				add_cnt_time	;
wire				end_cnt_time	;


reg			[4:0]	cnt_bit	   	;
wire				add_cnt_bit	;
wire				end_cnt_bit	;

reg			[6:0]	cnt_num	   	;
wire				add_cnt_num	;
wire				end_cnt_num	;


reg               pwm_r;

//****************************************************************
//--                状态机
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        state_c <= IDLE;
    end
    else begin
        state_c <= state_n ;
    end
end


always @( *) begin
    case (state_c) 
        IDLE : begin
            if(idle_rst) begin
                state_n = RST;
            end
            else begin
                state_n = state_c;
            end
        end

        RST : begin
            if(rst_data) begin
                state_n = DATA;
            end
            else begin
                state_n = state_c;
            end
        end

        DATA : begin
            if(data_idle) begin
                state_n = IDLE;
            end
            else begin
                state_n = state_c;
            end
        end
    endcase
end

assign  idle_rst   = state_c == IDLE && data_vld; 
assign  rst_data   = state_c == RST  && end_cnt_res;
assign  data_idle  = state_c == DATA  && end_cnt_num;


//****************************************************************
//--fifo
//****************************************************************
fifo	fifo_inst (
	.aclr ( ~rst_n ),
	.clock ( clk ),
	.data ( fifo_wr_data ),//GRB
	.rdreq ( fifo_rd_req ),
	.wrreq ( fifo_wr_req ),//GRB
	.empty ( fifo_empty ),
	.full ( fifo_full ),
	.q ( fifo_rd_data ),
	.usedw ( )
	);

assign fifo_wr_data = {data_in[15:8],data_in[23:16],data_in[7:0]};
assign fifo_wr_req = data_vld && ~fifo_full;

assign fifo_rd_req = end_cnt_bit && ~fifo_empty;
//****************************************************************
//--                复位时间
//****************************************************************


always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_res <= 'd0;
    end 
    else if(add_cnt_res)begin 
        if(end_cnt_res)begin 
            cnt_res <= 'd0;
        end
        else begin 
            cnt_res <= cnt_res + 1'b1;
        end 
    end
end 

assign add_cnt_res = state_c == RST;
assign end_cnt_res = add_cnt_res && cnt_res == MAX_RES - 1;

//****************************************************************
//--                数据传输时间
//****************************************************************

always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_time <= 'd0;
    end 
    else if(add_cnt_time)begin 
        if(end_cnt_time)begin 
            cnt_time <= 'd0;
        end
        else begin 
            cnt_time <= cnt_time + 1'b1;
        end 
    end
end 

assign add_cnt_time = state_c == DATA;
assign end_cnt_time = add_cnt_time && cnt_time == 1200/20 - 1;



always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_bit <= 'd0;
    end 
    else if(add_cnt_bit)begin 
        if(end_cnt_bit)begin 
            cnt_bit <= 'd0;
        end
        else begin 
            cnt_bit <= cnt_bit + 1'b1;
        end 
    end
end 

assign add_cnt_bit = end_cnt_time;
assign end_cnt_bit = add_cnt_bit && cnt_bit == 24 - 1;



always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_num <= 'd0;
    end 
    else if(add_cnt_num)begin 
        if(end_cnt_num)begin 
            cnt_num <= 'd0;
        end
        else begin 
            cnt_num <= cnt_num + 1'b1;
        end 
    end
end 

assign add_cnt_num = end_cnt_bit;
assign end_cnt_num = add_cnt_num && cnt_num == 64 - 1;

//****************************************************************
//--            pwm输出
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        pwm_r <= 0;
    end
    else begin
        case (state_c)
            IDLE : begin
                pwm_r <= 0;
            end

            RST : begin
                pwm_r <= 0;
            end

            DATA : begin
                if(fifo_rd_data[23-cnt_bit] == 0) begin
                    if(cnt_time < T0H)begin
                        pwm_r <= 1;
                    end
                    else begin
                        pwm_r <= 0;
                    end
                end
                else if(fifo_rd_data[23-cnt_bit] == 1) begin
                    if(cnt_time < T1H)begin
                        pwm_r <= 1;
                    end
                    else begin
                        pwm_r <= 0;
                    end
                end
            end

            default  : pwm_r <= 0;
                
        endcase
    end
end

assign pwm = pwm_r;
assign ready = state_c == IDLE;

endmodule //led_control

数据控制模块:

/*
 * @Description: 显示图片
 * @Author: Fu Yu
 * @Date: 2023-08-14 10:04:45
 * @LastEditTime: 2023-08-14 15:32:59
 * @LastEditors: Fu Yu
 */

module ws2812_control(
    input               clk             ,
    input               rst_n           ,
    output     [23:0]   pix_data        ,
    output              pix_data_vld    ,
    input               ready                   //可以接收图像数据了
);

    parameter   IDLE    =   0,
                DATA     =   1,
                DONE     =   2;

    reg     [2:0]   state   ;

    reg	[5:0] cnt_x;
    wire		  add_x_cnt,end_x_cnt;	

        reg	[4:0] cnt_y;
    wire		  add_y_cnt,end_y_cnt;	

localparam	RED     =   24'hFF0000,   //红色
            ORANGE  =   24'hFF8000,   //橙色
            YELLOW  =   24'hFFFF00,   //黄色
            GREEN   =   24'h00FF00,   //绿色
            CYAN    =   24'h00FFFF,   //青色
            BLUE    =   24'h0000FF,   //蓝色
            PURPPLE =   24'h8000FF,   //紫色
            BLACK   =   24'h000000,   //黑色
            WHITE   =   24'hFFFFFF,   //白色
            GRAY    =   24'hC0C0C0;	  //灰色


wire        rom_rd_req      ;
wire        rom_rd_data_vld ;
reg         rom_rd_req1     ;
reg         rom_rd_req2     ;
/**************************************************************
                            状态机
**************************************************************/
    always@(posedge clk or negedge rst_n)
        if(!rst_n)
            state <= IDLE;
        else case(state)
                IDLE		:	if(ready)
                                    state <=DATA;
                DATA		:	if(end_y_cnt)
                                    state <=DONE;
                default :	state <= IDLE;
        endcase

/**************************************************************
                        图像数据个数计数器
**************************************************************/       
    always@(posedge clk or negedge rst_n)	
        if(!rst_n)								
            cnt_x <= 'd0;						
        else    if(add_x_cnt) begin				
            if(end_x_cnt)						
                cnt_x <= 'd0;  				
            else									
                cnt_x <= cnt_x + 1'b1;		
        end											
    assign add_x_cnt = state == DATA;
    assign end_x_cnt = add_x_cnt && cnt_x == 8 - 1;


    always@(posedge clk or negedge rst_n)	
        if(!rst_n)								
            cnt_y <= 'd0;						
        else    if(add_y_cnt) begin				
            if(end_y_cnt)						
                cnt_y <= 'd0;  				
            else									
                cnt_y <= cnt_y + 1'b1;		
        end											
    assign add_y_cnt = end_x_cnt;
    assign end_y_cnt = add_y_cnt && cnt_y == 8 - 1;

//    assign pix_data_vld = add_x_cnt;

    // always@(*)
    //     case(cnt_y)
    //         0       :   pix_data = RED      ;
    //         1       :   pix_data = ORANGE   ;
    //         2       :   pix_data = YELLOW   ;
    //         3       :   pix_data = GREEN    ;
    //         4       :   pix_data = CYAN     ;
    //         5       :   pix_data = BLUE     ;
    //         6       :   pix_data = PURPPLE  ;
    //         7       :   pix_data = GRAY     ;
    //         default :   pix_data = RED      ;
    //     endcase

rom	rom_inst (
	.aclr       ( ~rst_n ),
	.address    ( cnt_x + cnt_y*8 ),
	.clock      ( clk ),
	.rden       (  rom_rd_req),
	.q          ( pix_data)
	);



assign rom_rd_req = state == DATA;

always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        rom_rd_req1 <= 0 ;
        rom_rd_req2 <= 0 ;
    end
    else begin
        rom_rd_req1 <= rom_rd_req ;
        rom_rd_req2 <= rom_rd_req1 ;
    end
end

assign pix_data_vld = rom_rd_req2;


endmodule

2、动态显示

动态显示图片时,只需要改变数据控制模块,以及所需要显示的数据图片,文章来源地址https://www.toymoban.com/news/detail-649130.html

/*
 * @Description: 动态显示图片
 * @Author: Fu Yu
 * @Date: 2023-08-14 15:34:55
 * @LastEditTime: 2023-08-14 17:21:36
 * @LastEditors: Fu Yu
 */

module ws2812_control_dynamic(
    input               clk             ,
    input               rst_n           ,
    output     [23:0]   pix_data        ,
    output              pix_data_vld    ,
    input               ready                   //可以接收图像数据了
);

    parameter   IDLE     =   0 ,
                DATA     =   1 ,
                DELAY    =   2 ,
                DONE     =   3 ;

    reg     [2:0]   state   ;

    reg	[5:0] cnt_x;
    wire		  add_x_cnt,end_x_cnt;	

        reg	[4:0] cnt_y;
    wire		  add_y_cnt,end_y_cnt;	

    reg			[24:0]	cnt_500ms	   	;
wire				add_cnt_500ms	;
wire				end_cnt_500ms	;

parameter MAX_500MS = 25'd24_999_999;

reg			[5:0]	cnt_offest	   	;
wire				add_cnt_offest	;
wire				end_cnt_offest	;

wire  [4:0] real_row;//0~31

wire        rom_rd_req      ;
wire        rom_rd_data_vld ;
reg         rom_rd_req1     ;
reg         rom_rd_req2     ;
/**************************************************************
                            状态机
**************************************************************/
    always@(posedge clk or negedge rst_n)
        if(!rst_n)
            state <= IDLE;
        else case(state)
                IDLE		:	if(ready)
                                    state <=DATA;
                DATA		:	if(end_y_cnt)
                                    state <=DELAY;
                DELAY       :   if(end_cnt_500ms)
                                    state <= IDLE;
                default :	state <= IDLE;
        endcase

//****************************************************************
//--500ms
//****************************************************************

always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_500ms <= 'd0;
    end 
    else if(add_cnt_500ms)begin 
        if(end_cnt_500ms)begin 
            cnt_500ms <= 'd0;
        end
        else begin 
            cnt_500ms <= cnt_500ms + 1'b1;
        end 
    end
end 

assign add_cnt_500ms = state == DELAY;
assign end_cnt_500ms = add_cnt_500ms && cnt_500ms == MAX_500MS;



/**************************************************************
                        图像数据个数计数器
**************************************************************/       
    always@(posedge clk or negedge rst_n)	
        if(!rst_n)								
            cnt_x <= 'd0;						
        else    if(add_x_cnt) begin				
            if(end_x_cnt)						
                cnt_x <= 'd0;  				
            else									
                cnt_x <= cnt_x + 1'b1;		
        end											
    assign add_x_cnt = state == DATA;
    assign end_x_cnt = add_x_cnt && cnt_x == 8 - 1;


    always@(posedge clk or negedge rst_n)	
        if(!rst_n)								
            cnt_y <= 'd0;						
        else    if(add_y_cnt) begin				
            if(end_y_cnt)						
                cnt_y <= 'd0;  				
            else									
                cnt_y <= cnt_y + 1'b1;		
        end											
    assign add_y_cnt = end_x_cnt;
    assign end_y_cnt = add_y_cnt && cnt_y == 8 - 1;

//****************************************************************
//--帧偏移
//****************************************************************


always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_offest <= 'd0;
    end 
    else if(add_cnt_offest)begin 
        if(end_cnt_offest)begin 
            cnt_offest <= 'd0;
        end
        else begin 
            cnt_offest <= cnt_offest + 1'b1;
        end 
    end
end 

assign add_cnt_offest = end_cnt_500ms;
assign end_cnt_offest = add_cnt_offest && cnt_offest == 32 - 1;

assign real_row = cnt_x + cnt_offest;

rom2	rom2_inst  (
	.aclr       ( ~rst_n ),
	.address    ( real_row + cnt_y*32 ),
	.clock      ( clk ),
	.rden       (  rom_rd_req),
	.q          ( pix_data)
	);



assign rom_rd_req = state == DATA;

always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        rom_rd_req1 <= 0 ;
        rom_rd_req2 <= 0 ;
    end
    else begin
        rom_rd_req1 <= rom_rd_req ;
        rom_rd_req2 <= rom_rd_req1 ;
    end
end

assign pix_data_vld = rom_rd_req2;


endmodule




到了这里,关于FPGA + WS2812采灯控制的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Arduino】ESP8266&WS2812S灯带控制

    前言   关于WS2812S灯带的驱动控制时序,网上已经有很多文章介绍过,这里就不在介绍了。这里只是为有WS2812S灯带的时候,能快速应用起来。直接举例代码案例,适合个人笔记用。 目录 下载文件 代码案例 下载文件   左上角点击 工具 ,在下拉的选项中,选 管理库 ,搜

    2024年02月13日
    浏览(28)
  • 【ESP32 IDF】用RMT控制 WS2812 彩色灯带

    在上一篇中,老周用 .NET Nano Framework 给大伙伴们演示了 WS2812 灯带的控制,包括用 SPI 和 红外RMT 的方式。利用 RMT 是一个很机灵的方案,不过,可能很多大伙伴对 ESP32 的 RMT 不是很熟悉。除了乐鑫自己的文档,没几个人写过相关的水文,可见这里头空白的水市场很充足,老周

    2024年04月22日
    浏览(53)
  • 关于STM32利用TIM+PWM+DMA控制WS2812

    MCU:STM32F103c8t6 开发工具:STM32CubeMX  使用板子参考原理图:STM32F103C8T6最小系统板开源链接 脉宽调制(PWM)基本原理:控制方式就是对逆变电路开关器件的通断进行控制,使输出端得到一系列幅值相等但宽度不一致的脉冲,用这些脉冲来代替正弦波或所需要的波形。也就是在输

    2024年02月01日
    浏览(42)
  • WS2812+ESP32 diy拾音灯+各种灯效 通过Blinker控制

    软件版本:2.0.0 2023.02.08 修复软件开机自启动相关bug,具体为bat文件创建快捷方式时起始路径错误导致无法创建ip.bin 修复方式为快捷方式时起始路径向前一级 后续如有更新会更新博客。 ESP32开发板一个 ws2812灯带一条(我买的60灯珠) 杜邦线几根(公对母,主要用于灯带和开

    2023年04月18日
    浏览(33)
  • (STM32笔记5)ws2812驱动开发

    ws2812 需要开发的外设:ws2812 开发环境:MDK 开发板:stm32最小系统板 杜邦线无数 一、原理阐述 (一) 这个ws2812有很多种,一个小灯、灯带,矩形像素屏等等。 这些都是DIN连接输入,DOUT连接输出,另外两个引脚是GNE和VCC   (二) 数据传输原理: 第1个WS2812B灯珠接收到了第1个

    2024年01月19日
    浏览(36)
  • STM32C0开发(1)----SPI 驱动WS2812灯珠

    本文介绍了如何使用STM32微控制器,结合STM32CubeMX配置工具和SPI通讯接口,来驱动和控制WS2812 LED灯带。这是一个集硬件连接、软件配置和编程开发于一体的综合性项目,目标是实现对LED灯带颜色和亮度的精确控制。 最近在弄ST的课程,需要样片的可以加群申请:615061293 。 ht

    2024年02月04日
    浏览(38)
  • 基于stm32作品设计:多功能氛围灯、手机APP无线控制ws2812,MCU无线升级程序

    作品哔哩哔哩视频:https://www.bilibili.com/video/BV1Yb4y1a7AQ#reply5536921990 资料链接: 蓝牙彩灯v1.01资料链接: CSDN: https://download.csdn.net/download/mbs520/25149435 百度网盘: https://pan.baidu.com/s/14Vout7Q2P6JBDZCCd8Gm6w 提取码:b7it 蓝牙彩灯v1.03资料链接: https://download.csdn.net/download/mbs520/25150035 取

    2024年02月09日
    浏览(37)
  • STM32作品设计:蓝牙彩灯V1.4(WS2812全彩、声控、光控、人体红外、小科语音控制、手机APP、蓝牙无线升级)

    @ biliblil视频链接:https://www.bilibili.com/video/BV14L411V78b/ 资料可联系作者:2809786963 实物链接:https://m.tb.cn/h.5hxssok?tk=vEQCWYHTKs0 CZ0001 1、手机APP控制亮度、颜色 2、多种显示模式:闪烁、渐变、呼吸、流水 3、拾音灯模式(随音起舞) 4、手机设置:光控模式、声控模式、声光控模式

    2024年02月11日
    浏览(68)
  • WS2812B彩灯 STM32HAL库开发:PWM+DMA(stm32f103c8t6)

    目录 一、摘要 二、WS2812B介绍 三、CUBEMX配置 四、程序介绍(KEIL编译器) 五、数据手册         1、本文使用示例单片机型号为 stm32f103c8t6 ,RGB型号为 WS2812B ;          2、主要实现功能是实现用 PWM+DMA 使RGB_LED亮起不同颜色的灯光;         3、目的:简单调通该型号

    2024年02月03日
    浏览(38)
  • WS2812B灯带

     开发WS2812B灯带笔记 目录 什么是WS2812B灯 WS2812B灯带连接原理图 WS2812B灯带的数据和数据传输是啥样的? 补充:什么是RGB? 那么WS2812B灯的24bit数据如何构造? WS2812B灯的逻辑“1”和逻辑“0” 代码部分 实现ns级别延时 WS2812B是一种智能控制LED光源,将控制电路和RGB芯片集成在

    2024年02月07日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包