FPGA时钟分频倍频之PLL锁相环

这篇具有很好参考价值的文章主要介绍了FPGA时钟分频倍频之PLL锁相环。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

使用FPGA资源PLL锁相环完成时钟的分频和倍频

1、使用vivado创建一个工程
FPGA时钟分频倍频之PLL锁相环,fpga开发
2、点击IP Catalog,搜索Clock Wizard,然后双击,本次实验使用PLL进行分频倍频,不动态改变相位,故选择PLL选项,并将时钟频率改变为50MHz,此处我们使用50MHz晶振作为时钟源
FPGA时钟分频倍频之PLL锁相环,fpga开发
3、点击Output Clocks,配置产生的时钟频率,其他选项保持默认,点击ok,生pll ip核

FPGA时钟分频倍频之PLL锁相环,fpga开发
此处我们使用了高电平复位,并使用locked信号,locked信号的作用是判断产生的时钟是否稳定输出,当locked为高电平时,此时输出的时钟信号是有效的,反之,则无效
4、编写Verilog源文件,找到pll ip核模块,并在顶层文件中进行例化
FPGA时钟分频倍频之PLL锁相环,fpga开发
5.编写仿真文件,对顶层模块进行仿真
FPGA时钟分频倍频之PLL锁相环,fpga开发
6、仿真结果如下图,可以看到200MHz的时钟周期为时钟源50MHz时钟周期的1/4,故产生的信号为时钟源的4倍频,100MHz的时钟周期为时钟源50MHz时钟周期的1/2,故产生的信号为时钟源的2倍频,50MHz的时钟周期与时钟源50MHz的时钟周期相等,故产生的信号与时钟源一样,25MHz的时钟周期为时钟源50MHz时钟周期的2倍,故产生的信号为时钟源的2分频
FPGA时钟分频倍频之PLL锁相环,fpga开发
总结,一般在进行FPGA开发时,我们有两种产生分频时钟的方法,一种是使用上述的PLL IP核产生时钟,另一种方法是我们编写verilog文件对时钟源进行奇偶分频,一般推荐使用PLL IP核产生时钟,通过IP核产生的时钟更加可靠文章来源地址https://www.toymoban.com/news/detail-654606.html

到了这里,关于FPGA时钟分频倍频之PLL锁相环的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数字锁相环的原理与FPGA实现

    数字锁相环是锁相环电路的全数字实现。锁相环电路能够实现对输入信号的相位进行跟踪,进而在噪声中提取纯净的有用信号。 数字鉴相器由乘法器和低通滤波器构成,又称为正弦形式的鉴相器。数字鉴相器有一重要指标——鉴相增益 K d K_d K d ​ ,又可表示为鉴相器输出的

    2024年02月03日
    浏览(29)
  • ZYNQ——锁相环(PLL)实验

    ZYNQ开发板上只有一个50MHz的时钟输入,如果要用到其他频率的时钟,就需要通过FPGA芯片内部集成的PLL(Phase Locked Loop,锁相环)来分频或者倍频实现。 一个复杂的系统往往需要多个不同频率、不同相位的时钟信号,所以FPGA芯片中的PLL的数量也是衡量FPGA芯片性能的重要指标。在

    2024年02月10日
    浏览(46)
  • 锁相环技术原理及FPGA实现(第一章1.1)

            锁相环技术具有很强的专业性,要掌握其工作原理,透彻理解各种设计方法和思路,最终游刃有余地设计出性能优良的锁相环电路,首先需要掌握一系列相关工具。请注意,不是“一种”工具,而是“一系列”工具: FPGA 开发软件 Quartus II、 HDL 仿真软件 ModelSim、

    2024年02月03日
    浏览(28)
  • 锁相环技术原理及FPGA实现(第一章1.2)

    4)嵌入式块 RAM( BRAM)         大多数 FPGA 都具有内嵌的 BRAM, 这大大拓展了 FPGA 的应用范围和灵活性。 块 RAM 可被配置为单端口 RAM、双端口 RAM、地址存储器( CAM),以及 FIFO 等常用存储结构。 CAM 存储器在其内部的每个存储单元中都有一个比较逻辑,写入 CAM 中的数据

    2024年02月03日
    浏览(27)
  • 锁相环技术原理及FPGA实现(第三章3.1)

            锁相环( Phase-Locked Loops, PLL)电路的发明者是法国的 H.de Bellescize。为了简化 当时广泛使用的超外差式无线接收机结构,消除因接收机本振频率漂移带来的噪声, Bellescize 于 1932 年提出同步检波理论,首次公开发表了对锁相环路的描述,但当时并没有引起普遍的

    2024年02月02日
    浏览(25)
  • 锁相环技术原理及FPGA实现(第三章3.2)

    3.2.3 锁相环与基本负反馈电路的区别         锁相环是一个相位负反馈电路。也只有当锁相环构成了一个相位负反馈电路后,环路才具有相位跟踪功能。         图 3-1 与图 3-7 如何对应起来呢? PLL 中输入信号的相位1( ) t 能够对应反馈电路中的Xi 吗? PLL 中的基本放

    2024年02月19日
    浏览(26)
  • Vivado PLL锁相环 IP核的使用

    本文纯属学习笔记,使用的FPGA是Xilinx的XC7A35TFGG484-1,使用Vivado调用PLL IP核来实现倍频效果,使50Mhz的晶振时钟源倍频到100Mhz、200Mhz和400Mhz。 Clocking Options 1.MMCM与PLL相比,PLL的时钟质量更高,所以对时钟质量要求较高的时候需要选择PLL,但是一般可以不用纠结。 2.修改Input Fre

    2024年02月08日
    浏览(30)
  • 锁相环(PLL)基本原理与频率合成器

    锁相环 (phase locked loop),是一种用于锁定相位的环路。锁相环的控制量是信号的频率和相位。它是一种典型的反馈控制电路,利用外部输入的参考信号控制环路内部振荡信号的频率和相位,实现输出信号频率对输入信号频率的自动跟踪,最终呈现出动态平衡。 1、锁相环的组成

    2024年02月15日
    浏览(29)
  • stm32 滑膜观测器+PLL 锁相环 FOC 无感无刷电机控制

            上一期为大家介绍了滑膜观测器正反切的应用案例,收到不少小伙伴的反馈是否有PLL的案例,大概看了一下网上的资料,讲理论的很多,能转化成源码的几乎没有。前半年工作和家里的事情都比较多,一拖再拖,终于在6月将源码调试好了,在这里跟大家分享一下调试

    2024年02月10日
    浏览(37)
  • fpga时钟分频——奇数分频

    相比偶数分频,奇数分频相对复杂,下面我总结一下如何用verilog实现。以N(奇数)为例。 总结如下: a. 上升沿计数器和信号寄存器 : 设置一个计数长度为N的上升沿计数器(pos_cnt),并且设置一个信号寄存器(pos_clk)。 当上升沿计数器计数到时,信号寄存器翻转。 当上升沿计

    2024年04月17日
    浏览(47)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包