verilog学习笔记6——锁存器和触发器

这篇具有很好参考价值的文章主要介绍了verilog学习笔记6——锁存器和触发器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。


前言

2023.8.15


verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

一、锁存器

1、基本SR锁存器——或非门实现

信号高电平有效
R:复位端
S:置位端
表达式:Q = S + R' Qn
约束条件:SR=0,也就是SR不能同时为1
verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

2、基本SR锁存器——与非门实现

信号低电平有效
R:复位端
S:置位端
表达式:Q = S' + R Qn
约束条件:S+R=1,也就是SR不能同时为0

verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

3、门控SR锁存器

E = 0:锁存器保持不变,锁住
E = 1:相当于正常得SR锁存器
verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

4、门控D锁存器

把S取反接到R端
(4个与非门或者2个或非门+2个与门

E = 0:锁存器保持不变,锁住
E = 1:相当于正常得SR锁存器

二、触发器

1、 电平触发的RS触发器/同步SR触发器

把EN信号换成CLK即为电平触发的RS触发器
clk=0:S=R=1,锁存器保持不变
clk=1:表达式为Q = S + R' Qn
(clk=1的时候,相当于S和R接了非门再进入锁存器,所以之前与非门的时候是低电平有效,现在变成高电平有效了)

verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

2、电平触发的D触发器/D型锁存器

clk=0:电路锁存
clk=1Q=D,且没有约束条件,因为输入是取反的,不可能同时为0或者同时为1
verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

3、边沿触发的D触发器

主从结构实现
clk=0:clk1=1,FF1锁存D输入的数据,FF2保持不变
clk=1:clk1=0,FF1保持不变,FF2锁存数据输出
上面是上升沿有效触发
(如果要实现下降沿触发的话,只加一个反相器)
verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

4、脉冲触发的RS触发器

clk=1:主触发器根据SR的状态进行翻转,从触发器不变
clk由1变为0:主触发器不再改变,从触发器按照和主触发器相同的状态翻转
verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

与非门构成的SR锁存器本是低电平有效,现在接了个与非门,变成高电平有效
约束条件:SR=0(也就是S、R不能同时为1)

verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

5、主从JK触发器

  • 主触发器clk高电平信号有效的话,翻转和置数发生在在下降沿
  • 主触发器clk低电平信号有效的话,翻转和置数发生在在上升沿
J K 功能
1 0 置1
0 1 置0
1 1 翻转
0 0 保持不变

verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

verilog学习笔记6——锁存器和触发器,Verilog学习笔记,学习,笔记,fpga开发

上述题目,如果在高电平期间,有毛刺的话会对主触发器电路状态造成影响,因此主从结构最好还是分来画图,先画出主触发器的变化,再根据主触发器来判断从触发器。

三、D锁存器和D触发器的verilog实现

always@(posedge clk)
	q <= d;
always@(*)
	if(EN)
		q <= d;

四、边沿触发、脉冲触发、电平触发的区别

电平触发:使能信号有效期间,数据输出随输入变化,这样会带来一些毛刺

  • 电平触发的D触发器
  • 电平触发的SR触发器

边沿触发:只在时钟上升沿或者下降沿数据有效,仅与该时刻的状态相关,能有效滤除掉毛刺

  • 边沿触发的D触发器(由两个电平触发的D触发器组成)

脉冲触发:一般是主从结构,主从触发器串联,触发信号反相
正脉冲:触发信号为高电平的时候,输入信号进入主触发器;触发信号为低电平的时候,主触发器不变,从触发器根据主触发器变化(因此信号只改变一次文章来源地址https://www.toymoban.com/news/detail-659805.html

  • 主从SR触发器
  • 主从JK触发器

到了这里,关于verilog学习笔记6——锁存器和触发器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数字电子技术之锁存器和触发器

    一、组合电路设计的一般步骤:         逻辑抽象=列出真值表=逻辑表达式=逻辑电路图 Notes:         a、可以先对逻辑表达式进行化简得到最简与或式、最简或与式、与非、或非,再对电路进行建模,从而提高电路的运行效率和可读性;         b、最基本的逻辑化简

    2024年02月06日
    浏览(39)
  • 「FPGA」基本时序电路元件——锁存器和触发器

    FPGA是一种数字电路实现的方式,它是基于小型查找表(16X1)设计的,它的兄弟CPLD是基于高密度复杂组合逻辑设计的。FPGA的一个优点是触发器资源丰富,适合实现复杂的时序设计。本文将从 门级电路 的角度来介绍时序电路的基本结构,锁存器(Latch)和触发器(flip-flop)。

    2024年02月11日
    浏览(43)
  • 【IC设计】时序逻辑的基础—锁存器、触发器

    波形图中,表达时序逻辑时如果时钟和数据是对齐的,则默认当前时钟沿采集到的数据位在该时钟上升沿前一时刻的值。表达组合逻辑时如果时钟和数据是对齐的,则默认当前时钟沿采集到的数据为该始终上升沿同一时刻的值。 组合逻辑和时序逻辑的区别 : 主要是看 数据工

    2024年02月03日
    浏览(29)
  • FPGA结构:LATCH(锁存器)和 FF(触发器)介绍

    如果你想学习有关FPGA的专业术语,可以参考这一篇:FPGA专业术语介绍 一句话概括,能够存储一个状态的数字电路叫做锁存器。 以下是最为基本的一个RS锁存器的具体结构: 以下是它的真值表,其中X表示不确定/无效: R {R} R (清零) S {S} S (置位) Q ( t ) {Q(t)} Q ( t ) (上一时刻的

    2024年01月25日
    浏览(43)
  • educoder数字逻辑实训:锁存器和触发器设计(Logisim)

    第1关:基本SR锁存器的设计 任务描述 本关任务:在Logisim中,构建由两个或非门构成的基本SR锁存器。 第2关:门控SR锁存器的设计  任务描述 本关任务:在Logisim中,在基本锁存器的基础上构建门控SR锁存器。 第3关:与非门构成的门控SR锁存器的设计  任务描述 本关任务:在

    2024年02月04日
    浏览(28)
  • 传输门、D 锁存器、D触发器、建立时间与保持时间

    在了解setup time和hold time之前,我们应该了解D锁存器 D latch 和 D触发器 DFF 。D锁存器和DFF是由传输门 transmission gate 和反相器 inverters 组成。 The transmission gate is consists of a parallel connection of PMOS NMOS. Two gate voltage of PMOS and NMOS are the complement of each other. The effective resistance of the trans

    2023年04月16日
    浏览(29)
  • FPGA中锁存器(latch)、触发器(flip-flop)以及寄存器(register)详解

    1 定义 1.1 锁存器(latch)     锁存器是一种由电平触发的存储单元,为异步电路,数据存储的动作取决于输入信号的电平值,只要输入发生变化,输出即随之发生变化。 1.2 触发器(flip-flop)     触发器是边沿敏感的存储单元,数据存储的动作由某一信号的上升或者下降

    2024年02月12日
    浏览(29)
  • 数字世界的积木-从MOS管搭反相器,与非门,锁存器,触发器

    NMOS管的结构示意图和表示符号如图所示,在P型衬底上制作两个掺杂N型区,形成MOS管的源极S 和漏极D ,中间电极称为栅极G,栅极和衬底之间通过SiO2 绝缘层隔开。 下图为NMOS输出特性曲线,采用共源极接法,漏极特性曲线可分为三个工作区,截止区,可变电阻区,饱和区 当

    2024年02月01日
    浏览(32)
  • 二、8【FPGA】Verilog中锁存器(Latch)原理、危害及避免

    学习说明此文档为本人的学习笔记,对一下资料进行总结,并添加了自己的理解。         如果拿到了数字电路技术基础的书,翻开书本的目录你会发现,关于锁存器的章节与内容非常少,也就是在触发器前面有一小节进行了简单说明。但是真的就这么简单么? 答案是否

    2024年01月16日
    浏览(36)
  • 【FPGA】Verilog:锁存器 Latch | RS Flip-Flop 与 D Flip-Flop 的实现

    💭 写在前面: 本章将理解 RS/D 锁存器的概念,了解 RS/D/JK 触发器的概念,使用 Verilog 实现各种锁存器 (Latch) 和翻转器 (Flip-Flop),并通过 FPGA 验证用 Verilog 的实现。 📜 本章目录: Ⅰ. 前置知识回顾 0x00 锁存器(Latch)

    2024年02月05日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包