AMBA总线协议(3)——AHB(一)

这篇具有很好参考价值的文章主要介绍了AMBA总线协议(3)——AHB(一)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

系列文章合集:AMBA总线协议(0)——目录与传送门

目录

一、前言

二、什么是AHB总线

1、概述

2、一个典型的基于AHB总线的微处理器架构

3、基本的 AHB 传送特性       

三、AMBA AHB总线互联       

 四、小结


一、前言

        在之前的文章中我们初步的了解了一下AMBA总线中AHB,APB,AXI的信号线及其功能,从本文开始我们将正式开始学习AMBA总线的细节,本文着重介绍AHB协议,了解什么是AHB协议,其功能与互联方式。

二、什么是AHB总线

1、概述

        AHB 主要用于高性能模块(如 CPU、DMA 和 DSP 等)之间的连接,作为 SoC 的片上系统总线,它包括以下一些特性:突发传输;分块处理;单周期总线主机移交;单时钟沿操作;非三态执行;更宽的数据总线架构(64 位或者 128 位)。

        AHB 系统由主模块、从模块和基础结构(Infrastructure)3 部分组成,整个 AHB 总线上的传送都由主模块发出,由从模块负责回应。

组件 描述
AHB主机 AHB 总线可以有多个主机,通常有CPU,测试接口,DMA或者DSP
AHB从机 外挂内存接口,APB桥,内部RAM,其他一些外围设备通常也能作为从机
APB 低带宽的外围设备通常挂在APB上
基础结构 仲裁器(arbiter)
主模块到从模块的多路器
从模块到主模块的多路器
译码器(decoder)
虚拟从模块(dummy S)
虚拟主模块(dummy M)所组成。

2、一个典型的基于AHB总线的微处理器架构

        下图就是一个典型的基于AHB总线的微处理器架构

AMBA总线协议(3)——AHB(一),AMBA总线协议,fpga开发,fpga,硬件架构,arm,arm开发

        其中包含了二个主要的总线 高效能总线 (AHB) 和外围总线 (APB)。 AHB 主要是针对高效率、高频宽及快速系统模块所设计的总线,它可以连接如微处理器、芯片上或芯片外的内存模块和直接内存存取机制等高效率模块,此总线持别适合用于可合成和自动测试技术上的设计程序中。
    
        AMBA 中的 APB 主要用在低速且低功率的外围,可针对外围作功率消耗及复杂接口的最佳化。由上图可知,负责 AMBA AHB 和 APB 之间的沟通管道为一桥梁(bridge),APB bridge 主要负责总线数据的栓取、译码及传送。
      下表给出了AHB总线与APB总线的特性比较:
AMBA 高级高性能总线 AHB AMBA 高级外设总线

(1)高性能

(2)流水线操作

(3)猝发传输

(4)多个总线主设备

(5)分割交易

(1)低功耗

(2)锁存的地址和控制

(3)简单的接口

(4)适合很多外设

          AMBA 的主要目标是要让使用者能在最快的时间内完成一个以嵌入式微处理器为平台的系统设计它还可以让(具有重复使用特性的)外设及系统宏组件轻易被整合进(全客户、标准组件和逻辑数组为技术的)设计流程中;且让模块化的设计很容易的被整合进一个以微处理器为平台的系统开发环境。在 AMBA 的规格中亦提供一个测试控制接口,使得 AHB 和 APB 可透过外在的系统测试样品来作测试,因此也减轻了功能测试时的工作。

        简单说就是AMBA总线可以让用户快速设计属于自己的系统(一般是芯片),并且可轻易通过AMBA总线实现外设的挂载。

3、基本的 AHB 传送特性       

        AHB 以仲裁器来控制多任务器以连接在其上的主机与从机。 在 AHB 总线上,其操作顺序一般如下:
(1)主机要求总线使用
(2)仲裁器响应允许信号
(3)从机送出地址与控制信号
        一次传送包括给出地址、控制信号周期(address, control)与数据周期(data cycle)。地址与控制信号周期最少需要一个周期,但是会因为数据的原因多出几个周期。数据周期可以通过HREADY 信号来延迟。拉起 HREADY 代表此次传送已经 OK。
         AHB 支持批量式数据传送,可以自动递增地址。递增地址方式分为:持续递增(incrementing without wrapping at address boundary),另一种为回绕传送 (wrapping at address boundary.)。
        在 AHB 上写数据总线将数据送到 从机,由读数据总线将数据送到 主机
从机以 HRESP[1:0]信号表示传送的状态:
        (1)HRESP[1:0] = 00 (OKAY):当此信号再加上拉高的 HREADY(OKAY+高 HREADY)就表示此次的传送已完成。
        (2)HRESP[1:0] = 01 (ERROR):传送失败。
        (3)HRESP[1:0] = 10 (RETRY) and HRESP[1:0] = 11 (SPLIT):当数据传送无法立刻完成时,主机会继续尝试完成数据的传送。
        这部分可以说是AHB传输的核心内容,这里让大家有一个初步的概念,在下一篇文章中会详细解释AMBA AHB的传输操作。

三、AMBA AHB总线互联       

        AMBA AHB 总线协议设计使用一个中央多路选择器互联方案。使用该方案所有总线主机设备输出地址和控制信号来指示它们想执行的传输。同时仲裁器决定哪一个主机能够将它的地址和控制信号连通到所有的从机。当然也需要一个译码器来控制读数据和响应多路信号选择器,多路信号选择器选中来自传输中所包含从机的适当信号。
AMBA总线协议(3)——AHB(一),AMBA总线协议,fpga开发,fpga,硬件架构,arm,arm开发

 四、小结

         在本文中对于AMBA AHB做了一个简单的介绍,AHB 主要用于高性能模块(如 CPU、DMA 和 DSP 等)之间的连接,是Soc所经常采用的一种总线协议,AHB可以支持多主机多从机结构。设备之间的互联使用一个中央多路选择器互联方案。在后续的文章中我们将讲述AMBA AHB传输的详细操作。文章来源地址https://www.toymoban.com/news/detail-661152.html

到了这里,关于AMBA总线协议(3)——AHB(一)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • AMBA总线协议(8)——AHB(六):分割传输

            在之前的文章中,我们重点介绍了AHB传输的仲裁,首先介绍了仲裁相关的信号,然后分别介绍了请求总线访问,授权总线访问,猝发提前终止,锁定传输和默认主机总线,在本文中我们将继续介绍AHB的分割传输。 系列文章合集:AMBA总线协议(0)——目录与传送门

    2024年02月11日
    浏览(27)
  • FPGA-AMBA协议、APB协议、AHB规范、AXI4协议规范概述及它们之间的关系

    笔记记录,AMBA协议、APB协议、AHB规范、AXI4协议规范概述,只是概述描述,具体详细的协议地址传输、数据传输等内容将在下一章节详细说明。 AMBA(Advanced Microcontroller Bus Architecture)是一种由ARM公司提出的处理器总线架构,它定义了处理器、内存和外设之间的通信标准 。

    2024年02月04日
    浏览(28)
  • 基于 VPX 总线的工件台运动控制系统研究与开发-DSP+FPGA硬件架构(一)

    作为光刻机核心单元之一,超精密工件台主要负责实现快速扫描、上下片、精密定位、调平调焦等功能。目前,较为成熟的方案大多采用 VME 并行总线架构来建立超精密工件台控制系统,由于随着系统性能要求的提升,VME 总线以及相应的处理器已无法满足需求,所以必须设计

    2024年02月03日
    浏览(29)
  • <AMBA总线篇> AXI总线协议介绍

    目录 01 AXI协议简介 AXI协议特性 AXI协议传输特性 02 AXI协议架构 AXI协议架构 write transaction(写传输) read tramsaction(读传输) Interface and interconnect 典型的AXI系统拓扑 03 文章总结 大家好,这里是程序员 杰克 。一名平平无奇的嵌入式软件工程师。 对于学习Xilinx FPGA(ZYNQ)而言,官方提

    2024年02月10日
    浏览(30)
  • AMBA总线协议AXI——学习笔记

    2023.3.25 2023.4.23 AXI :高级可拓展接口 高性能、高带宽、低延迟 单向通道体系结构 独立的地址和数据通道 支持多项数据交换。通过 并行执行burst操作 ,极大地提高了数据吞吐能力。 AXI4 :高性能内存映射需求(如读写DDR、使用BRAM控制器读写BRAM等),为了区别,有时候也叫这

    2023年04月23日
    浏览(34)
  • 【ARM AMBA5 CHI 入门 12 -- CHI 总线学习 】

    请阅读 【ARM AMBA 总线 文章专栏导读】

    2024年02月10日
    浏览(38)
  • 【数字IC精品文章收录】近500篇文章|学习路线|基础知识|接口|总线|脚本语言|芯片求职|安全|EDA|工具|低功耗设计|Verilog|低功耗|STA|设计|验证|FPGA|架构|AMBA|书籍|

    1.1 索引目的 本篇索引旨在 收藏CSDN全站中有关数字IC领域高价值文章 ,在数字芯片领域中,就算将架构,设计,验证,DFT,后端诸多岗位加在一起的数量,都不及软件类一个细分方向的岗位数量多,反映在社区氛围或是开源资料的丰富度而言,数字IC领域相较于软件/互联网领

    2024年02月03日
    浏览(108)
  • 【ARM AMBA AXI 入门 11 - AXI 总线 AWCACHE 和 ARCACHE 介绍】

    请阅读 【ARM AMBA AXI 总线 文章专栏导读】 转自:https:

    2024年02月09日
    浏览(40)
  • 「FPGA项目」—— 基于AMBA总线的流水灯控制系统

    本文将介绍一个完全用Verilog HDL手写的AMBA片上系统, 项目的主题是设计一个 基于AMBA总线的流水灯控制系统 , 项目中所有数字逻辑电路部分都不会通过调用成熟IP核的方式来实现,而是通过Verilog进行RTL设计, 然后利用Vivado平台对RTL模型进行仿真、综合与布线, 最后在FPGA开

    2023年04月25日
    浏览(36)
  • 【ARM AMBA AXI 入门 9 - AXI 总线 AxPROT 与安全之间的关系 】

    请阅读 【ARM AMBA AXI 总线 文章专栏导读】 上篇文章:ARM AMBA AXI 入门 8 - AXI 协议中 RID/ARID/AWID/WID 信号 ARMv8 架构中的AXI(Advanced eXtensible Interface)总线与NS(Non-Secure)位密切相关。NS位是指在ARM TrustZone安全扩展中定义的一种状态,用于区分安全和非安全的处理器执行环境。AXI总

    2024年02月11日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包