notepad++ verilog关键字自动补全

这篇具有很好参考价值的文章主要介绍了notepad++ verilog关键字自动补全。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

 

新建verilog.xml放在安装目录下

D:\Program Files (x86)\Notepad++\autoCompletion文章来源地址https://www.toymoban.com/news/detail-661730.html

<?xml version="1.0" encoding="Windows-1252" ?>
<NotepadPlus>
	<AutoComplete>
        <KeyWord name="accept_on" />
        <KeyWord name="alias" />
        <KeyWord name="always" />
        <KeyWord name="always_comb" />
        <KeyWord name="always_ff" />
        <KeyWord name="always_latch" />
        <KeyWord name="and" />
        <KeyWord name="assert" />
        <KeyWord name="assign" />
        <KeyWord name="assume" />
        <KeyWord name="attribute" />
        <KeyWord name="automatic" />
        <KeyWord name="before" />
        <KeyWord name="begin" />
        <KeyWord name="bind" />
        <KeyWord name="bins" />
        <KeyWord name="binsof" />
        <KeyWord name="bit" />
        <KeyWord name="break" />
        <KeyWord name="buf" />
        <KeyWord name="bufif0" />
        <KeyWord name="bufif1" />
        <KeyWord name="byte" />
        <KeyWord name="case" />
        <KeyWord name="casex" />
        <KeyWord name="casez" />
        <KeyWord name="cell" />
        <KeyWord name="chandle" />
        <KeyWord name="checker" />
        <KeyWord name="class" />
        <KeyWord name="clocking" />
        <KeyWord name="cmos" />
        <KeyWord name="config" />
        <KeyWord name="const" />
        <KeyWord name="constraint" />
        <KeyWord name="context" />
        <KeyWord name="continue" />
        <KeyWord name="cover" />
        <KeyWord name="covergroup" />
        <KeyWord name="coverpoint" />
        <KeyWord name="cross" />
        <KeyWord name="deassign" />
        <KeyWord name="default" />
        <KeyWord name="defparam" />
        <KeyWord name="design" />
        <KeyWord name="disable" />
        <KeyWord name="dist" />
        <KeyWord name="do" />
        <KeyWord name="edge" />
        <KeyWord name="else" />
        <KeyWord name="end" />
        <KeyWord name="endattribute" />
        <KeyWord name="endcase" />
        <KeyWord name="endchecker" />
        <KeyWord name="endclass" />
        <KeyWord name="endclocking" />
        <KeyWord name="endconfig" />
        <KeyWord name="endfunction" />
        <KeyWord name="endgenerate" />
        <KeyWord name="endgroup" />
        <KeyWord name="endinterface" />
        <KeyWord name="endmodule" />
        <KeyWord name="endpackage" />
        <KeyWord name="endprimitive" />
        <KeyWord name="endprogram" />
        <KeyWord name="endproperty" />
        <KeyWord name="endsequence" />
        <KeyWord name="endspecify" />
        <KeyWord name="endtable" />
        <KeyWord name="endtask" />
        <KeyWord name="enum" />
        <KeyWord name="event" />
        <KeyWord name="eventually" />
        <KeyWord name="expect" />
        <KeyWord name="export" />
        <KeyWord name="extends" />
        <KeyWord name="extern" />
        <KeyWord name="final" />
        <KeyWord name="first_match" />
        <KeyWord name="for" />
        <KeyWord name="force" />
        <KeyWord name="foreach" />
        <KeyWord name="forever" />
        <KeyWord name="fork" />
        <KeyWord name="forkjoin" />
        <KeyWord name="function" />
        <KeyWord name="generate" />
        <KeyWord name="genvar" />
        <KeyWord name="global" />
        <KeyWord name="highz0" />
        <KeyWord name="highz1" />
        <KeyWord name="if" />
        <KeyWord name="iff" />
        <KeyWord name="ifnone" />
        <KeyWord name="ignore_bins" />
        <KeyWord name="illegal_bins" />
        <KeyWord name="implements" />
        <KeyWord name="implies" />
        <KeyWord name="import" />
        <KeyWord name="incdir" />
        <KeyWord name="include" />
        <KeyWord name="initial" />
        <KeyWord name="inout" />
        <KeyWord name="input" />
        <KeyWord name="inside" />
        <KeyWord name="instance" />
        <KeyWord name="int" />
        <KeyWord name="integer" />
        <KeyWord name="interconnect" />
        <KeyWord name="interface" />
        <KeyWord name="intersect" />
        <KeyWord name="join" />
        <KeyWord name="join_any" />
        <KeyWord name="join_none" />
        <KeyWord name="large" />
        <KeyWord name="let" />
        <KeyWord name="liblist" />
        <KeyWord name="library" />
        <KeyWord name="local" />
        <KeyWord name="localparam" />
        <KeyWord name="logic" />
        <KeyWord name="longint" />
        <KeyWord name="macromodule" />
        <KeyWord name="matches" />
        <KeyWord name="medium" />
        <KeyWord name="modport" />
        <KeyWord name="module" />
        <KeyWord name="nand" />
        <KeyWord name="negedge" />
        <KeyWord name="nettype" />
        <KeyWord name="new" />
        <KeyWord name="nexttime" />
        <KeyWord name="nmos" />
        <KeyWord name="nor" />
        <KeyWord name="noshowcancelled" />
        <KeyWord name="not" />
        <KeyWord name="notif0" />
        <KeyWord name="notif1" />
        <KeyWord name="null" />
        <KeyWord name="or" />
        <KeyWord name="output" />
        <KeyWord name="package" />
        <KeyWord name="packed" />
        <KeyWord name="parameter" />
        <KeyWord name="pmos" />
        <KeyWord name="posedge" />
        <KeyWord name="primitive" />
        <KeyWord name="priority" />
        <KeyWord name="program" />
        <KeyWord name="property" />
        <KeyWord name="protected" />
        <KeyWord name="pull0" />
        <KeyWord name="pull1" />
        <KeyWord name="pulldown" />
        <KeyWord name="pullup" />
        <KeyWord name="pulsestyle_ondetect" />
        <KeyWord name="pulsestyle_onevent" />
        <KeyWord name="pure" />
        <KeyWord name="rand" />
        <KeyWord name="randc" />
        <KeyWord name="randcase" />
        <KeyWord name="randsequence" />
        <KeyWord name="rcmos" />
        <KeyWord name="real" />
        <KeyWord name="realtime" />
        <KeyWord name="ref" />
        <KeyWord name="reg" />
        <KeyWord name="reject_on" />
        <KeyWord name="release" />
        <KeyWord name="repeat" />
        <KeyWord name="restrict" />
        <KeyWord name="return" />
        <KeyWord name="rnmos" />
        <KeyWord name="rpmos" />
        <KeyWord name="rtran" />
        <KeyWord name="rtranif0" />
        <KeyWord name="rtranif1" />
        <KeyWord name="scalared" />
        <KeyWord name="sequence" />
        <KeyWord name="shortint" />
        <KeyWord name="shortreal" />
        <KeyWord name="showcancelled" />
        <KeyWord name="signed" />
        <KeyWord name="small" />
        <KeyWord name="soft" />
        <KeyWord name="solve" />
        <KeyWord name="specify" />
        <KeyWord name="specparam" />
        <KeyWord name="static" />
        <KeyWord name="string" />
        <KeyWord name="strong" />
        <KeyWord name="strong0" />
        <KeyWord name="strong1" />
        <KeyWord name="struct" />
        <KeyWord name="super" />
        <KeyWord name="supply0" />
        <KeyWord name="supply1" />
        <KeyWord name="sync_accept_on" />
        <KeyWord name="sync_reject_on" />
        <KeyWord name="s_always" />
        <KeyWord name="s_eventually" />
        <KeyWord name="s_nexttime" />
        <KeyWord name="s_until" />
        <KeyWord name="s_until_with" />
        <KeyWord name="table" />
        <KeyWord name="tagged" />
        <KeyWord name="task" />
        <KeyWord name="this" />
        <KeyWord name="throughout" />
        <KeyWord name="time" />
        <KeyWord name="timeprecision" />
        <KeyWord name="timeunit" />
        <KeyWord name="tran" />
        <KeyWord name="tranif0" />
        <KeyWord name="tranif1" />
        <KeyWord name="tri" />
        <KeyWord name="tri0" />
        <KeyWord name="tri1" />
        <KeyWord name="triand" />
        <KeyWord name="trior" />
        <KeyWord name="trireg" />
        <KeyWord name="type" />
        <KeyWord name="typedef" />
        <KeyWord name="union" />
        <KeyWord name="unique" />
        <KeyWord name="unique0" />
        <KeyWord name="unsigned" />
        <KeyWord name="until" />
        <KeyWord name="until_with" />
        <KeyWord name="untyped" />
        <KeyWord name="use" />
        <KeyWord name="var" />
        <KeyWord name="vectored" />
        <KeyWord name="virtual" />
        <KeyWord name="void" />
        <KeyWord name="wait" />
        <KeyWord name="wait_order" />
        <KeyWord name="wand" />
        <KeyWord name="weak" />
        <KeyWord name="weak0" />
        <KeyWord name="weak1" />
        <KeyWord name="while" />
        <KeyWord name="wildcard" />
        <KeyWord name="wire" />
        <KeyWord name="with" />
        <KeyWord name="within" />
        <KeyWord name="wor" />
        <KeyWord name="xnor" />
        <KeyWord name="xor" />
	</AutoComplete>
</NotepadPlus>

到了这里,关于notepad++ verilog关键字自动补全的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • python+selenium自动化测试关键字驱动

    page2

    2024年02月11日
    浏览(36)
  • 自动化测试框架之关键字驱动和数据驱动

    文章架构 1.什么是驱动 2.什么是数据驱动 3.数据驱动和驱动的关系 4.数据驱动和驱动结合进行测试的实施流程 5.案例 1.什么是驱动? 驱动是自动化测试框架设计的核心内容,可以解决代码冗余、可读性、维护性、代码复用性等问题。 比如,

    2024年02月14日
    浏览(31)
  • Python UI自动化 —— 关键字+excel表格数据驱动

    1. 对selenium进行二次封装,创建的库 2. 准备一个表格文件来写入所有测试用例步骤 3. 对表格内容进行读取,使用映射关系来对用例进行调用执行     4. 执行用例 1. 对selenium进行二次封装,创建的库 2. 创建一个表格,写入测试步骤 将表格放入项目任意路径下,记

    2024年02月09日
    浏览(34)
  • Vue中实现自动匹配搜索框内容 关键字高亮文字显示

    实现效果如下:  1.首先需要给输入框进行双向绑定  2.拿到搜索的结果去渲染页面  将返回的结果和搜索的进行比对 如果相同的 就变红 上代码 html部分 js部分

    2024年02月12日
    浏览(37)
  • 【C语言趣味教程】(7) 存储类:auto 关键字 | register 关键字 | 存储期 | 自动存储期 | 动态存储期 | 线程存储期 | 动态分配存储期 | 静态变量

        🔗 《C语言趣味教程》👈 猛戳订阅!!! 0x00 引入:什么是存储类别? ❓ 你没有听说过 \\\"存储类别\\\" 的概念? 📚 存储类别  (Storage Class) 在 C 语言标准中用来 规定变量与函数的可访问性与生命周期。 \\\"可访问性\\\" 的概念就是我们上一章说的作用域范围,我们先关注以下

    2024年02月10日
    浏览(38)
  • 织梦cms添加软件时关键字自动从TAG标签获取方法

    在软件模型里添加测试文章的时候发现一个问题:软件频道不能像文章频道那样自动从TAG中获取,而是直接从标题中分解出一些毫无意义的,这也导致在调用“相关文章”时文章不相关的现象。 经过对比文章频道添加模板,我找到了修改方法: 在网站根目录下

    2024年02月03日
    浏览(33)
  • 数据驱动 vs 关键字驱动:对搭建UI自动化测试框架的探索

    UI自动化测试用例剖析 让我们先从分析一端自动化测试案例的代码开始我们的旅程。以下是我之前写的一个自动化测试的小Demo。这个Demo基于Selenium与Java。由于现在Selenium在自动化测试的统治地位,并且随着Selenium 4的即将发布,在未来很长的一段时间里这种统治地位应该还会

    2024年02月19日
    浏览(33)
  • 【软件测试】UI自动化框架,数据驱动 vs 关键字驱动怎么选

    让我们先从分析一端自动化测试案例的代码开始我们的旅程。以下是我之前写的一个自动化测试的小Demo。这个Demo 基于Selenium与Java 。 自动化测试小Demo 它要测试的东西其实是要看一下百度搜索能不能返回兴业银行的官网。我们分析一下这段代码都包含些什么东西。 第一,这

    2024年02月13日
    浏览(44)
  • 如何搭建关键字驱动自动化测试框架?这绝对是全网天花板的教程

    目录 1. 驱动自动化测试介绍 2. 搭建驱动自动化测试框架 步骤1:选择测试工具 步骤2:定义测试用例 步骤3:编写测试驱动引擎 步骤4:实现测试库 步骤5:执行测试 3. 实现驱动自动化测试的关键技术 技术1:测试工具 技术2:测试驱动引擎的编写 技

    2023年04月20日
    浏览(43)
  • dedecms文章关键字(自动内链)php5.5以上版本urf-8失效的解决方法

    找到 include/arc.archives.class.php 在里面需要修改两次地方 在1230行 改成 只需要把 PHP_VERSION, \\\'5.5.0\\\', \\\'=\\\' 里面的第一个 5改成7 就ok了还有1250行也是一样的。 以上就是dedecms文章(自动内链)php5.5以上urf-8版本失效的解决方法的详细内容,更多关于dedecms文章内链失效问题的

    2024年02月03日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包