AXI4协议

这篇具有很好参考价值的文章主要介绍了AXI4协议。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

AXI4协议

高性能、高带宽、低延迟的片内总线,较一般总线复杂。
特点:
1)单项通道体系结构:
信息流只以单向传输,减少时钟域间的桥接,减少门数量。在复杂的soc时,减少延时。
2)支持多项数据交换:
多种并发操作,超高数据吞吐量,满足高性能、低功耗的要求。
3)5个独立通道:
写地址通道、写数据通道、写响应通道、读地址通道、读数据通道
其中写地址、写响应、读地址有效周期都是1个cycle。每个通道都可以单独优化
4)灵活性高:
对称的主从接口,支持点对点、多对多的连接,仲裁器实现。

写地址通道

事务信息:突发大小(4/8)、类型(固定、增量、环绕),长度,事务ID(主要用于乱序传输)。
数据信息:地址
控制信息:握手、锁定类型、cache类型、保护类型
	锁定类型AxLOCK:0b0:正常访问;0b1:排他性访问(响应为01,独占访问成功)

写数据通道

控制信息:握手、数据指示信号(last)、数据选通信号(strobe)
数据信息:数据

写响应通道

控制信息:握手
数据信息:4种响应信息
	00:OKAY			常规访问成功
	01:EXOKAY		独占访问成功
	10:SLVERR		从机错误
	11:	DECERR		解码错误

读地址通道

事务信息:突发大小(4/8),类型(固定、增量、环绕),长度,事务ID(主要用于乱序传输)。
数据信息:地址
控制信息:握手、锁定类型、cache类型、保护类型

读响应通道

控制信息:握手
数据信息:4种响应信息(与写响应相同)

通道之间的关系(必须保持的关系)

1)读数据必须总师跟在其数据相关联的地址之后;
2)写响应必须总是更在其相关联的写事务的最后出现(wlast)

握手依赖关系

在下面的图中,单箭头表示非必要条件,双箭头表示必要条件
1)读传输依赖关系
axi4协议,IC设计,服务器,其他,芯片
上图表示必RVALID必须等到ARVALID和ARREADY握手以后才能拉高,开始数据传输。
2)写传输依赖关系
从机必须等待主机的wlast拉高以后,才能将bvalid拉高,但wlast只保持一个周期。
axi4协议,IC设计,服务器,其他,芯片

突发传输的规则

1)突发传输的长度
	AxLEN和实际传输的数据个数关系为AxLEN+1=ACT(实际传输transfer个数),这是由于AxLEN指的是无地址的访问transfer个数;
	在不同模式下,突发传输长度也有限制,最常用的为INCR(增量)模式
	FIXED(固定传输):1-16个transfers;
	INCR(增量传输):支持1-256个transfers的传输;
	WRAP(环绕传输):只支持 2、4、8、16个transfers的传输;
注:不能在完成所有数据传输前结束数据传输。
2)传输位宽AxSIZE	
AxSIZE[2:0] Bytes in transfer
0b000 1 Byte
0b001 2 Bytes
0b010 4 Bytes
0b011 8 Bytes
0b100 16 Bytes
0b101 32 Bytes
0b110 64 Bytes
0b111 128 Bytes
3)突发类型
FIXED:burst中所有数据都使用起始地址。
	适合对某个固定地址进行多次数据更新,类似于FIFO。
INCR:后续数据的地址在起始地址的基础上进行递增,递增幅度与SIZE和LENGTH相关。
WRAP:类似于INCR,在WRAP中,地址将根据SIZE先进行地址对齐的操作,
	然后从起始地址开始根据SIZE进行递增,但达到地址上限以后,地址将回转到地址下界。

AXI4的其他协议

接口类型 Feature
AXI4 面向高性能通信需求的地址\数据接口,最大支持256 transfers
AXI-lite 轻量级地址\数据接口,地址\数据在同一拍传输
AXI4-stream 面向无地址的高速数据传输,只支持无限制的突发传输

乱序传输\间插

Outstanding:master不比等待数据传输完成,即可开始下次地址操作,针对多个从设备多次访问;
Out-of_Order(乱序):不同ID的指令,可以乱序完成,针对多个从设备;
Interleaving(间插):乱序传输时不同ID之间的数据可以内插,但每个ID的数据要顺序传输,针对多个 从设备。
axi4协议,IC设计,服务器,其他,芯片
由于AXI4取消了WID,故写数据通道不支持乱序传输和间插

写在最后

其他的控制信号诸如AxCACHE、AxQOS、AxPROT等信号,没有特殊需求,接默认值0即可。
AxLOCK和xRESP有对应的关系,特此说明。文章来源地址https://www.toymoban.com/news/detail-691040.html

AxLOCK xRESP
0b0 正常访问 0b0 正常访问成功
0b1 排他性访问 0b1 排他性访问成功

到了这里,关于AXI4协议的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA----UltraScale+系列的PS侧与PL侧通过AXI-HP交互(全网唯一最详)附带AXI4协议校验IP使用方法

    1、之前写过一篇关于ZYNQ系列通用的PS侧与PL侧通过AXI-HP通道的文档,下面是链接。 FPGA----ZCU106基于axi-hp通道的pl与ps数据交互(全网唯一最详)_zcu106调试_发光的沙子的博客-CSDN博客 大家好,今天给大家带来的内容是,基于AXI4协议的采用AXI-HP通道完成PL侧数据发送至PS侧(PS侧数

    2024年02月13日
    浏览(35)
  • 详解AXI4-Full接口(1)--什么是AXI4-Full接口?

    目录 1、什么是AXI4-Full? 2、通道(Channel) 2.1、AXI 读取传输事务 2.2、AXI 写入传输事务

    2024年02月09日
    浏览(47)
  • 详解AXI4-Stream接口(3)--AXI4 STREAM DATA FIFO IP的使用

    目录 1、AXI4 STREAM DATA FIFO是什么? 2、自己编写的仿真验证 3、官方例程仿真         IP核----AXI4 STREAM DATA FIFO也是一种先入先出形式的数据缓存队列(FIFO),不过输入输出接口

    2024年02月09日
    浏览(32)
  • AXI4接口时序解读

    下一节:AXI4总线-axi-full-slave IP程序解析_北纬二六的博客-CSDN博客 1.axi4写时序 图1  写时序示意图         如上图1示意图所示,主机先向从机发送地址控制信号,接下来数据总线即可互相握手发送数据信号,待数据发生完毕后,从机向主机返还一个应答信号以此做到相互握手

    2024年02月05日
    浏览(25)
  • AXI4总线学习心得(一)

    AXI4:(For high-performance memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大 256 轮的数据突发传输; AXI4-Lite:(For simple, low-throughput memory-mapped communication )是一个轻量级的地址映射单次传输接口,占用很少的逻辑单元。 AXI4-Stream:

    2024年02月12日
    浏览(33)
  • FPGA——AXI4总线详解

    目录 AXI4总线 1、什么是AXI 2、AXI4协议的优势 AXI4的工作模式 AXI4读操作: AXI4写操作 AXI4和AXI4-Lite、AXI4-Stream接口信号 握手信号 AXI相关术语     AXI(Advanced eXtensible Interface高级可扩展总线)是一种总线协议     AXI4包含3种类型的接口: 1)AXI4:主要面向高性能地址映射通信的

    2024年02月02日
    浏览(42)
  • FPGA - AXI4_Lite(实现用户端与axi4_lite之间的交互逻辑)

    在之前的博客中对AXI4总线进行了介绍(FPGA-AXI4接口协议概述),在这篇博客中, 实现用户端与axi4_lite之间的交互逻辑。 对AXI4总线简单介绍(具体可见FPGA-AXI4接口协议概述) ①AXI4是ARM公司提出的是一种高性能、高带宽、低延迟的片内总线 ②主要描述了主设备和从设备之间的

    2024年04月11日
    浏览(31)
  • FPGA AXI4总线信号介绍篇

    AXI是一种总线协议,可以挂在多个master和slave:         (1)AXI4:主要面向高性能地址映射通信的需求;(突发数据)(地址映射模式)         (2)AXI4-Lite:是一个轻量级的,适用于吞吐量较小的地址映射通信总线;(无突发)(地址映射模式)         (3)AXI4-

    2024年04月11日
    浏览(48)
  • axi4-stream-fifo使用

    1.读TDFV( 0xC )寄存器                                                //查询FIFO可写次数 2.写TDFD(lite接口: 0x10 ,full接口:0x0)寄存器       //写待发数据 3.写TLR ( 0x14 )寄存器                                                 //写包长度 ,单位Byte

    2024年02月12日
    浏览(33)
  • DDR3 AXI4 IP核读写仿真实验(2)

    上篇blog中记录了DDR3 AXI4接口的IP配置详情,这一文章则是记录自己在项目工程以及学习中对于DDR3的读写测试。先讲一下大概的工程架构:产生16位的自加数写进写FIFO中,当FIFO中的数达到一次突发长度后将其全部读出写进DDR3中,再检测到DDR3中数达到1024之后全部读出写入到读

    2024年02月13日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包