新手教程01:逻辑仿真工具VCS的基础使用

这篇具有很好参考价值的文章主要介绍了新手教程01:逻辑仿真工具VCS的基础使用。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

前言

利用图形化界面的方法使用VCS

1. 新建文件夹,存放需要仿真的Verilog源代码和testbench测试文件​

2. 使用cd命令进入该文件夹路径下,对需要编译的文件生成file.list文件

3. 使用vcs命令编译仿真需要的verilog代码

4. 启动VCS图形化界面

5. 进行仿真,生成波形

总结


前言

零基础初学数字IC,在此整理学习笔记。学会什么写什么,与大家一起进步。

本篇主要介绍逻辑仿真工具VCS的图形化界面使用方法,下一篇介绍如何书写makefile脚本进行仿真。


利用图形化界面的方法使用VCS

1. 新建文件夹,存放需要仿真的Verilog源代码和testbench测试文件vcs使用教程,数字IC新手教程,学习

2. 使用cd命令进入该文件夹路径下,对需要编译的文件生成file.list文件

file.list 文件用于存放我们需要编译的所有文件的路径,方便后续使用vcs进行编译。

如果rtl文件的路径不在当前文件夹下,可以在 -name 之前加上文件的相对路径即可。

find -name '*.v' > file.list
gvim file.list

vcs使用教程,数字IC新手教程,学习

3. 使用vcs命令编译仿真需要的verilog代码

vcs -full64 -sverilog -debug_access+all -f file.list -timescale=1ns/1ns -l com.log

 vcs -full64                使用EDA逻辑仿真工具编译源代码

-sverilog                    可以识别system verilog 语言

-debug_access+all   编译命令选项,可以保存debug过程中生成的各种文件

-f file.list                    读取file.list文件中每个路径下的Verilog文件

-timescale=1ns/1ns  定义仿真时间

-l com.log                  保存日志文件 com.log

+v2k                          支持Verilog2001标准

vcs使用教程,数字IC新手教程,学习

 编译完成后就会生成simv可执行文件,可用于后续仿真。

4. 启动VCS图形化界面

dve &

& 表示在后台打开dve图形化界面,不占用当前terminal

vcs使用教程,数字IC新手教程,学习

5. 进行仿真,生成波形

vcs使用教程,数字IC新手教程,学习

vcs使用教程,数字IC新手教程,学习

vcs使用教程,数字IC新手教程,学习

在命令行窗口输入run,进行仿真

vcs使用教程,数字IC新手教程,学习

仿真完成后,添加希望观察的波形

vcs使用教程,数字IC新手教程,学习

生成最终波形

vcs使用教程,数字IC新手教程,学习


总结

以上就是简单的VCS使用方法,学习笔记如果有错误的地方,欢迎大家留言纠正~

另外有VCS的使用技巧,欢迎留言补充~文章来源地址https://www.toymoban.com/news/detail-698054.html

到了这里,关于新手教程01:逻辑仿真工具VCS的基础使用的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • vcs+verdi仿真Verilog代码

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下: 我们再定义一个宏定义的文件: 我们需要再定义一个testbench文件: 再定义一个filelist文件: dut.f 最后就是需要一个Makefile文件了: 总的文件如下: 执行 make all : 跑完后如下

    2024年02月13日
    浏览(33)
  • EDA07--VCS仿真验证(一)

    VCS用于在Linux下仿真.v代码,vcs六大功能: ·System Verilog ·OVA ·NTB ·DVE调试环境 ·覆盖率统计 ·DirectC ·增量编译 ·64-bit模式 ·混合信号仿真 本文讲解VCS的知识内容,具体操作步骤单独写一篇… VCS-DVE仿真由三步构成: 编译、仿真、调试 。提前编写好设计文件和Testbench的.v文件。

    2024年02月08日
    浏览(40)
  • Vivado工程怎么用VCS仿真

    在进行数字电路设计的的时候,我们用Vivado写了一个工程,但是大家都知道Vivado自带的仿真是很拉胯的,信号多了就很慢很不方便,很容易卡死,所以就需要用VCS去进行仿真,有2种方法。 1.首先也是最重要的一步,你需要把Vivado的所有的IP编译成VCS可以识别的库文件,因为你

    2023年04月08日
    浏览(39)
  • [VCS、verdi、makefile] 联合仿真 ——步骤

    目录 1、vcs、verdi简介和安装 2、Verdi的配置 3、vcs与Verdi联合仿真的Makefile脚本 1、基于Verilog系统函数Makefile脚本 1.1 先在tb文件中添加代码段,仿真后可以产生.fsdb文件  1.2 Makefile写法 2、基于ucli/tcl接口Makefile脚本 4、Verdi的使用       建议去淘宝买别人别人装好的环境,自己整

    2024年02月01日
    浏览(28)
  • 【数字IC设计】VCS仿真DesignWare IP

    DesignWare是SoC/ASIC设计者最钟爱的设计IP库和验证IP库。它包括一个独立于工艺的、经验证的、可综合的虚拟微架构的元件集合,包括逻辑、算术、存储和专用元件系列,超过140个模块。DesignWare和 Design Compiler的结合可以极大地改进综合的结果,并缩短设计周期。Synopsys在DesignW

    2024年02月14日
    浏览(39)
  • 【VCS+Verdi联合仿真】~ 以计数器为例

    首先,先声明一下,我写这篇博客的时候我就是一个纯小白,实不相瞒,刚刚学了一天,哈哈哈,没错,你没看错,就是一天!!!主要是因为前天因为刷题和找工作的需要,需要熟悉VCS和Verdi的联合仿真(据说是很好用,随大流呗!!!),所以才会有接下来的一些相关博

    2023年04月12日
    浏览(26)
  • 基于vcs+uvm+xilinx ip的仿真平台的半自动化搭建

    系 统:ubuntu 18.04 仿真平台:vcs_2018.09-SP2 开发平台:vivado 2019.2 本文的主要目的是自动化搭建基于vcs+uvm+xilinx ip的仿真平台,节省平台搭建的时间与精力。 拿到一个项目,一般的平台搭建的步骤:去网上找一个makefile脚本(或者使用原项目脚本),修改相应的软件路径,添加

    2024年01月18日
    浏览(34)
  • linux环境下vcs+verdi的使用

    今日感想:学习只能慢慢搭积木,想一步登天可不行啊 在vcs仿真时调用$dump函数dump出fsdb文件,随后verdi load filelist和fsdb文件来进行debug 1、 vcs两步仿真:先编译文件,生成simv可执行文件;后进行仿真 (compilation and simulation) VCS:Verilog Compiler Simulator 问题一:当设计比较大的

    2024年02月11日
    浏览(33)
  • #VCS# 关于VCS 编译选项:+vcs+initreg+random的理解(3)回头是岸

    前段时间,有时间整理了一下关于+vcs+initreg+random 编译和仿真选项的心得,草草写了两篇笔记。自觉得对该选项已经掌握了,后来实际应用中再次触及到了该知识点,不想又卡壳了。今天,继续追加一篇,希望对大家能有所帮助!不正之处,请指正。 对于上述规则rule#2和 ru

    2024年01月20日
    浏览(29)
  • 使用VCS的ralgen命令产生UVM的寄存器模型的操作步骤

    1.根据reg.csv(寄存器的SPEC)文件生成一个reg.ralf文件,这个文件有特定的脚本生成(我目前不会),当然也可以自己手写(如果寄存器比较小)   寄存器的SPEC reg.ralf文件  2.\\\".ralf\\\"文件的格式  3.有reg.ralf文件之后,编写一个生成寄存器模型的Makefile文件 4.直接在terminal上 make genreg 便可产

    2024年02月03日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包