16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试)

这篇具有很好参考价值的文章主要介绍了16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

深入还是得靠自己学——尤其是脚本代码的编写

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

目录

1.Flist常用写法

2.Debussy——检查语法错误+debug代码

2.Linux版的Debussy——verdi

3.Modelsim——do file脚本

4.按脚本方式操作Modelsim

1.首先更改工作目录,到Modelsim文件夹下

2.写脚本代码

3.执行脚本、仿真

4.加载波形、界面操作、保存波形

5.修改脚本、退出仿真、加载保存过的波形

6.进一步,跑代码覆盖率

1.代码说明

 2.执行脚本

 5.VCS仿真(linux下)

windows的dump,看波形容易死机,代码无法运行,或者大的波形出错!

6.Windows下的nLint软件

1.加载文件

2.运行


1.Flist常用写法

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 都可以写多行,如+incdir + 多个路径找,找不到compile会报错

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

2.Debussy——检查语法错误+debug代码

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

2.Linux版的Debussy——verdi

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

&——后台执行符号,第一行的:#!——表明是一个cshell的脚本  

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

3.Modelsim——do file脚本

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

vlog——编译verilog代码。
-o0—— -optimization 0就是不optimization(仿真速度慢一点),optimization有时会把内部信号优化掉,导致在波形里面看不到了。
-vlog01compat——兼容Verilog2001语法 -f ——文件:flist.f

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

vsim——编译如果没有出错,就告诉modelsim进行仿真 tcl脚本vsim命令的参数列表(纯英文,无注释)
http://t.csdn.cn/evtgZ

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

4.按脚本方式操作Modelsim

1.首先更改工作目录,到Modelsim文件夹下

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

2.写脚本代码

这段代码就是ppt中讲解的代码:do ./wave.do —— 一开始没有波形,就注释掉,有波形后可以存一下波形!再下一次就可以直接加载波形(演示)

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

3.执行脚本、仿真

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

4.加载波形、界面操作、保存波形

选中波形,右键、add wave

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 加载后,wave窗口就有了信号!

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

5.修改脚本、退出仿真、加载保存过的波形

因为wave.do已经存在了,再次执行脚本就可以直接调用上面我们修改好格式、保存好的wave.do!!!!!这样省去了多次修改仿真波形的繁琐步骤!!!

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

6.进一步,跑代码覆盖率

1.代码说明

告诉modelsim要做coverage测试,sbcet是coverrage的类型 http://t.csdn.cn/O1Qgd http://t.csdn.cn/HTAnF

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 2.执行脚本

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 5.VCS仿真(linux下)

编译 full64——64位机器

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

windows的dump,看波形容易死机,代码无法运行,或者大的波形出错!

dump波形的名字,dump波形的顶层文件:即tb下所有波形都做dump

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

6.Windows下的nLint软件

1.加载文件

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

2.运行

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发

stimulation 没有错误就可以(warning),设计风格的警告和错误没有大问题↓

16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试),仿真工具,实用软件,数字IC,学习,fpga开发文章来源地址https://www.toymoban.com/news/detail-712462.html

到了这里,关于16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于RTL8376+RTL8218B的16端口千兆非网管交换机设计

    前段时间,做了一个外包项目,在通信设备的背板上,设计16端口的交换机,实现网络数据包在背板上的交换功能。 网上搜索了一下,有人提示用RTL8376+RTL8218B,可以实现16端口的千兆网线速。 在这个基础上做开发,开发阶段遇到的问题: 芯片资料非常少。基本上只能找到d

    2024年02月09日
    浏览(39)
  • quartusII的RTL仿真实现

      quartusII是一款集成式的EDA软件,主要用于FPGA的开发,包括了verilog代码编辑器、综合器、仿真器等,其还可以与modelsim实现联合仿真。 软件下载见:我的安装过程记录见博文,这是我转载的B站的视频以及我的下载记录,该视频我觉得讲得非常详细,非常适合入门,感谢!

    2024年04月27日
    浏览(22)
  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(32)
  • Modelsim仿真使用教程

    最近写了个设计《基于VHDL/Verilog的汉明码编译码器设计》 之前用QuartusII+modelsim联合仿真,没有出现任何问题,后面在别的电脑上也安装了两个软件,结果QuartusII中无法正常的启动modelsim软件,没有找到很好的解决办法,干脆直接使用modelsim仿真。 激励文件的作用:仿真无疑就

    2024年02月02日
    浏览(29)
  • Modelsim软件仿真出错:Modelsim is exiting with code 7.

     以下为使用modelsim软件进行波形仿真时出现的错误。 Modelsim is exiting with code 7. Check the transcript file for more information on the fatal error.This dialog will automatically dose in 10 seconds.                             Mentor公司的ModelSim是优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业

    2024年02月12日
    浏览(26)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(38)
  • modelsim仿真之do文件

    最重要的一步是编辑do文件中的内容:(需要修改三个地方的内容)第一是testbench文件:也就是仿真文件名,把vlog后面的文件换成你的仿真文件名,设计文件就是你写源程序的文件,你要编译的文件全部加进来,方法和仿真一样,指定仿真顶层,也是把后面改成你的仿真文件

    2024年01月21日
    浏览(33)
  • 【关于Modelsim仿真】4位计数器的设计与仿真

    其实这篇应该是FPGA学习的第一篇,之前一篇使用的黑金的AX301开发板,但是因为种种原因,迟迟没写,这里使用VScode进行编程 VScode可以直接从腾讯电脑管家中下载我就不给链接了。 其他软件的安装与关联在此就不过多赘述了,VScode安装成功后,需要安装一些插件: ex就是e

    2023年04月08日
    浏览(30)
  • vivado2019.1关联modelsim仿真

    vivado版本是2019.1 modelsim版本是10.7 下为modelsim链接 链接:https://pan.baidu.com/s/1IzQIb7578P9aEfU7Xux5IA?pwd=1117 提取码:1117 默认已经安装好vivado和modelsim 目录 一、生成库文件 二、modelsim加载库文件 三、每个新工程的关联modelsim的步骤 1、找一个比较方便找的位置建立一个文件夹(后面仿

    2024年02月15日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包